欢迎访问ic37.com |
会员登录 免费注册
发布采购

05350400/D

日期:2018-8-24类别:会员资讯 阅读:579 (来源:互联网)
公司:
漳州鼎晟达自动化设备有限公司
联系人:
林工
手机:
18105962658
电话:
18105962658
传真:
0596-3119658
QQ:
2880842791 2880842790
地址:
漳州市漳浦县绥安镇金浦路中段东侧金浦花园1幢302
摘要:MEASUREX 05350400/D UNITECH/BSC ADAPTER *NEW NO BOX*

实现变频器汽包的自动补水。我们设法利用转炉的冶炼信息来实现补水。首先我们将汽化PLC与转炉PLC通过PLUS网联接到一起,通过网络在汽化获得冶炼信息,主要获得冶炼开始、冶炼时钟、出钢信号等。其补水原理为:由于每炉软水消耗,在正常情况下基本相同,以此作为给定值,而人工补水在冶炼后期进行,我们就用自动来模拟人工补水的控制模式。这时候自动启动汽包补水电动阀开始补水,当补水流量累计到给定值时,自动关闭补水电动阀,完成一炉的补水,当出钢信号来的时候,将补水的累计值清零,为下一炉做准备。

  当然在不正常情况下,如烟道漏水,可选择手动补水或改变设定值的操作,使汽包补水方便灵活,彻底解决了汽包自动补水的难题。由于汽包供水不是连续的时常造成憋压现象,且泵和电机的故障率高。随着交流变频器的广泛应用,加上PLC的灵活的软件编程,给汽包的自动供水提供了方便条件。我们采用2台变频器,其中一台工作,一台备用,交替使用。在总管上安装一台2.5 M Pa压力变送器,其信号进入PLC处理,后输出控制,设定两个控制点,即上限和下限。当高于上限值时,表明无供水要求,变频器低速运行,达到节能目的,当低于下限值时,表明有供水要求,变频器高速运行。
PLC(可编程控制器)控制技术和变频器(交流电机变频调速器)调速技术得到广泛应用。为此,我校在电气化、机电、电工等专业班均开设了PLC和变频器的有关课程。为了使学生掌握这些新技术的应用技能,我们制作了一套“PLC控制变频器调速自动恒压供水系统”教具,使学生通过对本教具的学习、操作,进一步掌握PLC的编程技术。PLC专用AD、DA转换模块与传感器、PLC、受控设备之间的连接技术;变频器与外围设备的连接技术和变频器参数的调试技术。

  系统基本情况本系统。主要由三菱电机变频调速器(以下简称变频器)。三菱PLC控制器及与之配套的AD、DA专用转换模块;压力传感器(即压力表);两台水泵电机M1、M2,相应的水箱、水管、水阀及相关电气设备组成。它可对其中某一台水泵进行变频调速和闭环控制,该系统不管用户用水量怎样变化,总管压力都能稳定于由用户预先在PLC内设定好的压力值上(即实现恒压供水)。为使该系统操作演示方便,将整个系统安装在一辆不锈钢的手推车上。可编程序控制器PLC的作用根据用户的需要,在PLC内编制好控制程序及设定好总管所要求的水压,通过由AD转换模块转换过来的总管实际水压与已设定好的水压相比较,来控制变频器的运行和交流接触器组的切换。由于有关参数是在PLC程序中设定的,所以调试方便,控制准确,故障率低。
散热器的压降分为两大部份:局部阻力损失(进出口压缩和膨胀)和沿程阻力损失(肋片表面摩擦)。其中摩擦面积也是换热面积,适当增加摩擦面积会增加对流换热面积,提高换热能力。而局部阻力损失通过碰撞把流体动能转化为热,起不到提高换热效果的目的,广西油烟净化器因此在设计时尽量避免局部阻力损失,同时适当提高摩擦面积。曲线型散热器设计通过平顺风扇出口流场减小了进口碰撞损失,同时弯扭曲面也增加了摩擦面积,提高了对流换热能力,可知其散热器的摩擦熵产和温差熵产都较小。

  因此分清设计变量对总体性能的影响对设计散热器时具有重要参考价值:安装角设定可以减小局部损失和提高摩擦换热面积,肋片高度可以增加摩擦换热面积,塞铜半径和高度增加可以增强固体导热能力,对压降没有影响。弯曲型和垂直型散热器的风扇特性比较接近,玻璃喷砂机而倾斜型、扭曲型和曲线型散热器的风扇略高一些。这表明单独风扇和匹配不同的散热器会呈现出略有不同的风扇特性,散热器对风扇会有反作用。从而证明在空气强迫对流换热极端化设计的趋势下对风扇散热器进行一体化设计的必要性。

         咨询热线:18105962658(林工)
诚信经营,质量为首,诚信至上,鼎晟达竭诚为您服务               
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~`  
漳州鼎晟达自动化设备有限公司       
 联系人:林经理(销售经理)                                         
手机      :18105962658(微信同步)
QQ        :2880842791                        
邮箱      :2880842791@qq.com
传真      :0596-3119658(请备注林工收)                                         
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
*所有备件的质保期均为1年,经过专业测试认证。
*如果您需要订购产品超过1件,请与我们联系,我们可以为您提供折扣。
*我们仅采用快递方式递送备件。(顺丰)
*如果您发现其他供货商为相同产品提供更为低廉的价格,我们也愿意参照其价格向您提供进一步的折扣。如果还有其他任何问题,请随时与我们联系。
*请让我们知道您有任何,备品,备件,的需要,我们可以给您进一步的援助,我们等候您的询盘。
【主营产品】
1:Invensys Foxboro(福克斯波罗):I/A Series系统,FBM(现场输入/输出模块)顺序控制、梯形逻辑控制、事故追忆处理、数模转换、输入/输出信号处理、数据通信及处理等。

2:Invensys Triconex: 冗余容错控制系统、基于三重模件冗余(TMR)结构的最现代化的容错控制器。

3:Westinghouse(西屋): OVATION系统、WDPF系统、WEStation系统备件。

4:Rockwell Allen-Bradley: Reliance瑞恩、SLC500/1747/1746、MicroLogix/1761/1763/1762/1766/1764、CompactLogix/1769/1768、Logix5000/1756/1789/1794/1760/1788、PLC-5/1771/1785等。

5:Schneider Modicon(施耐德莫迪康):Quantum 140系列处理器、控制卡、电源模块等。

6:ABB:工业机器人备件DSQC系列、Bailey INFI 90等。

7:Siemens(西门子):Siemens MOORE, Siemens Simatic C1,Siemens数控系统等。

8:Motorola(摩托罗拉):MVME 162、MVME 167、MVME1772、MVME177等系列。

9:XYCOM:I/O 、VME板和处理器等。

10:GE FANUC(GE发那科):模块、卡件、驱动器等各类备件。

11:Yaskawa(安川):伺服控制器、伺服马达、伺服驱动器。

12:Bosch Rexroth(博世力士乐):Indramat,I/O模块,PLC控制器,驱动模块等。

13:Woodward(伍德沃德):SPC阀位控制器、PEAK150数字控制器。

14:工业机器人系统备件。
Nikon 4S018-547 Drive Control Card PCB BLDRVX3 NSR-S204B System  Working

Kawasaki NS110C-B001 Chuckbot Robot 4K192-238-4 NSR-S205C Sheared Pin As-Is

DCG-100Z Optima ENI SPR S03-111 DC Plasma Generator AMAT 0190-14212 Refurbished

TW 250 S Oerlikon Leybold 800150V0009 Turbomolecular Vacuum Pump TW-250S 

TURBOVAC TW 250 S Oerlikon Leybold 11352 Turbomolecular Vacuum Pump TW-250S 

TW 250 Leybold 86255 Turbomolecular Vacuum Pump TW-250  Working

Hitachi Transformer Box M-712E Shallow Trench Etcher System Untested As-Is

Jenoptik 4022.454.9932.2 ASML BP Mirror 193nm Twinscan XT:1250 New

Diahen AGA-50G-V RF Power Generator AGA-50G  Working

Kawasaki NS110C-B001 Chuck Box Robot 4K192-238-4 NSR-S307E DUV Sheared Pin As-Is

OEM-25B ENI OEM-25B-01 RF Generator Rev. C with Cables  Tested Working

Applied Ceramics 91-01768A Dome Tapered Style AMAT 0200-40218 New

Acbel Polytech Inc 25-6680 AC / DC Converter Board Module for Palladium III Emu

LAM RESEARCH 853-012500-002 ASSY, Edge Sensor

ASM 2841436-01 ASSY, Touchscreen Reactor

New ASM 1048-432-01 Kit Advance Purchase Elec Add Universal

Brooks Automation 10600-10 200mm Pre-Aligner Chamber  Working

TEL Tokyo Electron Drive Assembly PQL-INT PCB 3281-000151 P-8  Working

ASM 02-327347D03 ASSY-INJECTOR FLANGE RP RH STEPPED

Lam Research 716-011543-002 Attachment Wafer Clamp Plate 716-11543-002 New

ASM AMERICA 02-320586D01 Reflector-Center-Assy

Tektronix TCP303 AC/DC Current Measurement Current Probe New Surplus

QMB250 Edwards A301-86-905 Vacuum Pump Mechanical Booster 25 mTorr Refurbished

QMB250 Edwards A301-86-905 Vacuum Pump Mechanical Booster 35 mTorr Refurbished

QMB250 Edwards A301-86-905 Vacuum Pump Mechanical Booster 2 mTorr Refurbished

Kensington Prealigner Forsight CSMT-4 Compact Wafer Sorter Mapping Tool 

Lam Research 716-249040-001 150mm ESC Focus Ring New

Lam Research 716-011763-008 Wafer Clamp Edge 8" Ring New

Lam Research 716-011889-161 6" Wafer Clamp Edge 60 Jeida New

Lam Research 716-031535-003 Ring Clamp BOT CER ESC XL New

Lam Research 716-330741-002 6" ESC OUT Focus Ring New

Lam Research 716-249040-002 150mm ESC Focus Ring New

Lam Research 716-28296-001 Insolator Upper 8" New

Lam Research 716-011750-289 8" Quartz Notch Insert Ring New

Lam Research 716-072305-002 Ceramic Ring G-INJ JETS 8x .76mm New

Lam Research 716-44054-108 Alumina PLT Adapter Focus Ring New

Lam Research 713-028281-003 Confinement Ring New

Lam Research 715-011535-100 Upper Electrode Clamp Ring 715-11535-100 New

Lam Research 715-028289-001 Upper Electrode Ring Clamp New

Lam Research 716-025080-001 Ceramic Ring 716-25080-1 New

Lam Research 716-140118-001 8" ESC Focus Ring New

Lam Research 715-011746-166 W/C 6" RDCD HGT Plate New

Lam Research 715-021051-001 4620 Upper Electrode Shroud New

Lam Research 713-018614-304 8" High Conductance Focus Ring New

Lam Research 713-100180-503 Captive Electrode Confinement Ring New

Lam Research 716-028545-152 5" 90 Degree Collimator Insert Ring New

Lam Research 716-330167-261 6" Bottom ACTR Clamp Ring New

Lam Research 716-000144-001 Ceramic Ring Assembly Rev. A New

Lam Research 715-011531-014 Anodized Aluminum Electrode New

Lam Research 716-011624-005 Insulator Ring Lower Electrode RDCD HGT Rev. C New

Lam Research 716-443090-001 Upper Quartz E2 Ring New

Lam Research 716-003544-002 COV Hot Edge Ring Rework 001 to 002 New

Lam Research 716-011764-281 8" Wafer Clamp Plate New

Lam Research 716-028721-281 8" Shadow DMD Bottom Wafer Clamp PLT Rev. B New

Lam Research 716-011580-144 4" Wafer Clamp 716-11580-144 New

Lam Research 716-028668-251 5" Shagow DMD Top Wafer Clamp New

Lam Research 713-011650-004 Combo Focus/Sleeve Ring New

Lam Research 716-004607-001 10.75 ID GND PLT.D Filler Ring New

Lam Research 715-249056-001 200mm ESC Upper Confinment Ring New

Lam Research 716-018527-404 8" .035 Dome Plate Wafer Clamp New

Lam Research 716-028635-001 4" Insert Wafer Clamp Plate 716-28635-001 New

Lam Research 716-011580-162 8" Wafer Clamp 716-11580-162 New

Lam Research 716-011580-144 4" Wafer Clamp Plate 716-11580-144 New

Lam Research 716-011580-151 5" Wafer Clamp Plate 716-11580-151 New

Lam Research 716-011764-181 8" Dome Wafer Clamp Plate 716-11764-181 New

Lam Research 716-011580-146 4" Wafer Clamp Plate 716-11580-146 New

Lam Research 716-330167-181 8" ACTR Bottom Clamp Ring New

Lam Research 716-051054-001 Quartz Shield Section Top Plate New

Lam Research 716-011563-156 5" Domed Wafer Clamp 716-11563-156 New

Lam Research 716-020904-018 Lower Wafer Clamp Edge Focus Ring New

Lam Research 716-028414-014 Focus Ring 716-28414-14 New

Lam Research 716-160260-001 8" BAC INSRT CARR Wafer Clamp Plate New

Lam Research 716-330121-014 8" FG Ceramic RF WDO Plate New

Lam Research 716-330760-002 8" MF ESC Shadow MOD Ring New

Lam Research 716-028760-001 Domed Wafer Clamp Bottom Plate New

Lam Research 716-028033-001 Elect Cap Process II EIP INSUL 816-28033-001 New

Lam Research 716-011580-244 4" Wafer Clamp Plate 716-11580-244 New

Lam Research 716-011563-063 6" Domed Wafer Clamp UNIV New

Lam Research 716-011638-004 Thin Edge Focus Ring 716-11638-004 New

Lam Research 716-031782-001 6" Domed Wafer Clamp New

Lam Research 716-011563-166 6" Domed Wafer Clamp with Pins New

Lam Research 716-028668-053 5" Domed Wafer Clamp Plate 716-28668-053 New

Force Computers 104674 SYS68K/SIO-2 PCB Card Lam Research 810-017038R005 

View Engineering 2870240-1 System Controller 8100 3-D Scanning System 

Asyst 4002-6633-01 Spartan EFEM Wafer Mapper Shuttle FRID Rev. 002  Working

iL70N Edwards NRB4-46-945 Dry Vacuum Pump  Tested As-Is

Thermo Neslab 622023991801 Heat Exchanger DIMAX No Panels Tested As-Is

Ebara 305W Turbomolecular Pump Controller REM01 PWM-20M  Working

Nikon WS Shock Absorber (RFC) Nikon NSR-S204B Scanning System  Working

Riken Keiki OX-571A Oxygen Analyzer Reseller Lot of 38  Working

Nikon FIA Autofocus Bulb Housing NSR-S204B Scanning System  Working

Newport 35-3700-1425-18 300mm Wafer Handling Robot RMP Copper Exposed 

AMAT Applied Materials 0010-76001 Precision 5000 Cleanroom Storage Elevator 

Trebor 2472-AF Heater 2472-DI Untested As-Is

Trebor 2472-AD Heater 2472-DI Untested As-Is

MECS OFH3000-001 Wafer Prealigner Hitachi I-900SRT  Working

Lam Research 852-011201-581-E1-230 Exit Loadlock Bottom View Assembly As-Is

Lam Research 852-0112200-500-E1-230D Harmonic Arm Drive Assembly 4420 As-Is

Lam Research 852-0112200-003-E Entrance Loadlock Bottom View Assembly As-Is

Nikon WLT31 Wafer Loader Robot Controller Ver 2.50 NSR-S204B System  Working

Nikon WLT31 Wafer Stage Robot Controller Ver 2.40 NSR-S204B System  Working

Nikon 4K177-955-3 Reticle Loader Robot Controller RR-B07 Ver 6.2 NSR-S204B 

Nikon 4K177-955-4 Reticle Loader Robot Controller RR-C07 Ver 6.3 NSR-S204B 

Nikon 4K177-955-2 Reticle Loader Robot Controller RR-W07 Ver 4.2 NSR-S204B 

Trazer 1308-002 RF Match Network AMU1A-20 Matrix System 10 Style 1104 

QMB250 Edwards QMB250F Mechanical Booster Pump Refurbished

TEL Tokyo Electron 5087-403592-14 Cup Washer Holding Stage (2) CWH Lithius 

KLA-Tencor #088/KE10600-M Cooling Module 64000 Quantox  Working

Nikon 4S018-378 Relay Control Board PCB MAC-CTRL-1 NSR-S204B  Working

Osaka TD2001-C Turbomolecular Pump Controller Power Supply  Tested Working

Osaka Vacuum TC011M Compound Turbomolecular Pump Controller  Tested Working

Nikon 4S018-379 Control Board PCB SPIOX2 NSR-S204B Non-Copper  Working

Densei-Lambda DPS2800 Power Supply TEL Tokyo Electron Lithius  Working

Asyst Technologies 15534-001 Wafer Pre-Aligner Model 5X  Working

100P Leybold E 13874 Dry Vacuum Pump DRYVAC  Untested As-Is

Marathon Electric BVL 326TTDP4347ADL AC Electric Motor E11135480 Series E 

AMAT Applied Materials 9090-00442 Decel PSU Resistor 9010-01409ITL Rev. D 

TEL Tokyo Electron Gas Cabinet Fujikin WVG-S2-Y-IB5 Untested As-Is

TEL Tokyo Electron Gas Cabinet Fujikin WVG-S2-Y-IB8 Untested As-Is

Tazmo NSR18 Robot Controller Nikon 4S064-548 NSR-S306C  Working

Brooks Automation TEC-300 Controller SMC-S PRI FEI CLM-3D  Working

EXT250 HP Edwards B74004000 R Turbomolecular Pump Untested As-Is

Newport Kensington 25-3700-1425-08 300mm Wafer Transfer Robot  Working

Newport 15-3701-1425-25 Wafer Transfer Robot AMAT 0190-19124 Copper Exposed 

Newport 15-3701-1425-25 300MM Wafer Transfer Robot AMAT 0190-19124  Working

Glassman PS/ER06N25.0YZA 6kV Power Supply AMAT 9090-01265ITL  Working

Glassman PS/ER06N25.0YZ4 6kV Power Supply AMAT 9090-00473ITL  Working

Newport Kensington 35-3700-1425-18 Wafer Robot 15 25 35 Series Lot of 5 As-Is

Thermo Neslab 622023991801 Heat Exchanger DIMAX 0190-06335 Copper  Working

Nikon 4S064-549 WL IOP NSR 17 NSR-S306C  Working

TEL Tokyo Electron PB122-U300-02W AC Power Box ACT12 Incomplete As-Is

TEL Tokyo Electron ES3D10-101276-V1 Shield Depo D3.0P35.6 W-LB N Refurbished

Kensington Laboratories WFH3B TT/LR/HS Wafer Handling Robot CSMT-4  Working

SCU Seiko Seiki SCU-301 Turbomolecular STP Control Unit  Tested Working

AMAT Applied Materials 404663 300mm Source 4 CPI-VMO Chamber Copper  Working

Newport 35-3700-1425-18 Wafer Transfer Robot AMAT 0190-22248  Working

IDI Integrated Designs 203-MC6L1S IDS Dispenser Photoresist No Power As-Is

Brooks Automation 013077-079-20 300mm Load Port FIXLOAD 25  Working

IDI 203-M8L1B-S IDS Dispenser Photoresist Lot of 2 Incomplete Untested As-Is

Brooks Automation FIXLOAD 25 300mm Silicon Wafer Load Port  Working

RGA-50C Daihen RGA-50C-V RF Generator 3D39-050099  Tested Water Leak As-Is

AMAT Applied Materials 0021-43798 Upper NI AL ARC-SPRAY Shield 300mm PVD New

RGA-50C Daihen RGA-50C-V RF Generator 3D39-050099 Tested Low Output 30W As-Is

RGA-50C Daihen RGA-50C-V RF Generator 3D39-050099 Tested Low Output 18W As-Is

Opal 320-250326 System Control SBC Board Assembly AMAT SEMVision cX  Working

Nikon Laser Lens Reflection Assembly NSR-S307E  Working

TEL Tokyo Electron 2985-445336-W1 IFB Interface Block Cooling ACT12 No Rack 

Daihen WGA-50E-V RF Power Generator Stack Tested As-Is

RGA-50C Daihen RGA-50C-V RF Power Generator Tested DC Fault No Output As-Is

Daihen WGA-50E-V RF Power Generator Stack Tested Not Working

Daihen WGA-50E-V RF Power Generator Stack Tested Not Working Dew Fault As-Is

RGA-50C Daihen RGA-50C-V RF Power Generator Tested Missing Valve Breaker As-Is

TEL Tokyo Electron 3D10-100293-V1 Upper Body Electrode New

KLA-Tencor #98/KE10600-M Cooling Module 64000 Quantox  Working

Yaskawa XELM-8DNNQX71 Y Axis Motor Assembly 4K179-460 NSR-S307E 300mm DUV 

Yaskawa XELM-8DNNQZ71 Z Axis Motor Assembly 4K179-464 NSR-S307E 300mm DUV 

Yaskawa XELM-8DNNQY71 Y Axis Motor Assembly 4K179-462 NSR-S307E 300mm DUV 

Yaskawa XELM-8DNNQY72 Y Axis Motor Assembly 4K179-461 NSR-S307E 300mm DUV 

Sumitomo M28488 YL Axis Linear Lift Elevator YLaxisVCM1 NSR-S307E 300mm DUV 

Sumitomo M28489 Linear Lift Elevator YRaxisVCM1 NSR-S307E 300mm DUV 

Sumitomo M28487 Linear Lift Elevator XaxisVCM1 NSR-S307E 300mm DUV 

HiPace 80 Pfeiffer PM P03 940 Turbomolecular Pump with TC 110  Working

TEL Tokyo Electron 838 SHU Shuttle Slider Assembly ACT12-300mm 

RECIF Technologies VMT8 Vertical Wafer Transfer Mapper 200mm  Tested Working

Microbar Trackmate Chemical Delivery Cabinet Missing Interface Module  As-Is

ETO Ehrhorn Technological 80-S03 RF Generator Rack AMAT Applied Materials 

Asyst Technologies 05050-017 Wafer Pre-Aligner Model 5 ASM Epsilon 3000 

Mactronix AWI-600 200mm Wafer Prealigner Handler Sorter  Working

Invax Technologies ESC-9232B Electrostatic Chuck AM-END-500C-12SB New Surplus

Invax Technologies Echuck PVD 300mm ESC-9232 New

XDS 10 Edwards A72601906XS XDS Dry Vacuum Pump XDS10  Tested Working

AC Motoren JM 132 M-4 Pump Motor Vacuum Assembly JM132M-4  Tested Working

Newport Kensington 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 

Axcelis Microwave Waveguide Assembly GAE GA3107 10661 Fusion ES3  Working

Axcelis Microwave Waveguide Assembly ASTeX GL219 624811 Fusion ES3  Working

Daihen AMN-50L-V RF Auto Matcher 3D39-000004-V2 Copper Exposed As-Is

CX-2500 Comdel FP3305R1 RF Generator 3.39MHz Tested Not Working As-Is

Novellus 02-281532-00 RF Match Source Aluminum Coil  Working

Key GV-6AP-4010780 Gate Valve Throttle Valve Assembly MRC Eclipse A112990 

TEL Tokyo Electron PB1-U125-01-T AC Power Box CleanTrack ACT8  Working

Nikon 4K177-955-2 Power Controller 4S587-244 NSR-S204B Step and Scan 

SVG Silicon Valley Group 99-4228-01 Vacuum Bake Station 9039 90S DUV 

Novellus 02-304871-00 RF Match Assembly Aluminum SST-PEEK 1.12 New

Brooks 013077-054-20 300mm Load Port FIXLOAD 25 with Transport Axcelis ES3 

Axcelis Microwave Waveguide Power Suppy GAE GA3107 H3862 Fusion E53  Working

Axcelis Microwave Waveguide Assembly GAE 910677 Fusion ES3  Working

Axcelis Microwave Waveguide Assembly ASTeX GL219 00495001 H3862 Fusion ES3 

CX-2500S Comdel FP3323R1 RF Generator 13.56Mhz Tested  Working

CX-2500 Comdel FP3305R1 RF Generator 2.5kW  Tested Working

CTI-Cryogenics 8120675G001 On-Board CT-8 Waterpump Tested Working Refurbished

VAT 16548-PA21-AAB1 Pneumatic Pendulum Isolation Valve Series 16.5 New Surplus

VAT 92548-PA21-AAK1 Pneumatic Pendulum Isolation Valve AMAT 3870-02618 New

Agilent PTS03101UNIV TriScroll Dry Vacuum Pump Genus Lynx2  Tested Working

TEL Tokyo Electron 5027-678469-11 WCPL/TRS Water Controlled Chill Plate New

Owens Design 3464-003 Sapphire Tester Autoprober With Controller Untested

ATMI ESCA Difusion Furance Kit 233405043 233405641 New Surplus

Agilent 4022.471.78842 WIPA PPCA VMEbus PCB Card ASML New Surplus

QMB500 Edwards A302-86-905 Mechanical Booster Vacuum Pump 60Hz QMB Refurbished

Yaskawa XU-RC350D-D91 Dual End Effector Wafer Handling Robot Incomplete As-Is

Mitsubishi RV-E14NHC-SA06 Industrial Robot HTR Brake Box QC-20C-S44  As-Is

HiPace 80 Pfeiffer PM P03 940 A Turbomolecular Pump with TC 110  Working

TMH 071 P Pfeiffer Vacuum PM P02 980 C Turbomolecular Pump with TC100 

TMU 262 X Pfeiffer PM P03 115 Turbo Pump with TC100 Refurbished

MRC Materials Research A114265 Quad 1000 RF Deck Eclipse Star  Working

Hitachi 568-5530 Power Supply Assembly COL-DCPS S-9300 CD SEM  Working

AMAT Applied Materials 9090-00442 Decel PSU Resistor 9010-01409ITL Rev. C 

Thermo Neslab 622023991801 Heat Exchanger DIMAX Tested Not Working As-Is

Microbar Trackmate 4-Port Chemical Delivery Cabinet Missing Parts Untested As-Is

West Coast Quartz 91-01627A Silicon Slab AMAT Applied Materials 0200-40013 New

West Coast Quartz 91-01627RW Silicon Slab AMAT 0200-40013 Refurbished

Applied Materials 0200-10325 Ceramic Dome DPS Metal

Tegal 33-527-001 Bellow Chuck

New ASM 73055-72384 Insulator Plate / CBS RC1

Plasma Therm Etch Chamber

ASM 16-405066-01 PLATE-SHOWER N2 PURGE ALD6550

TREBOR M25R-EE Maxim 25R Pump

ASM 2949717-01 SiC HEAT BARRIER BRDG

LAM RESEARCH 810-25417R Screen, Flat 300MM POLY DSP2 REPAIR

TSK PULCOM E-DT-174A-ED Gauge Height

ASM 1079-518-01 KIT-COOLER S3 W/IO HEAT UNIV K-MOD BLKR

ASM 04-404548-01 KIT-SUSCEPTOR 300MM CAPPED

New ASM 78-120442A07 OBS/End Effector Edge Grip 300mm

Nikon 4S586-946-1 VCM Amp SPA136A Nikon NSR System  Working

Nikon 4S586-946-1 Linear Motor Amplifier SPA136A NSR-S205C System  Working

Brooks Automation 6-0002-0706-SPR Robot WTM511-2-FWS02-V1-CU Refurbished

Brooks Automation 6-0001-0817-SP Linear Robot Track LTRA 042-SBI SEMVision 

NOVELLUS 02-00119-00 Geneva Drive Assembly

ASM 16-400505-01 PLATE-INSULATOR

Hitachi Ion Pump Power Supply Assembly 560-5521 S-9300 CD SEM  Working

Alcatel Comptech CVQ-10-ASA-U-SM VARI-Q Throttling Valve 150-1  Working

Hitachi M-511E Power Unit Microwave Plasma Etching System  Working

Daihen AMN-30F-V RF Auto Matcher TEL Tokyo Electron 3D80-000142-V6  Working

AMAT Applied Materials 4332 B CVD Configured Chamber Precision 5000 Mark II 

DCG-200Z ENI DC22S-Z022000010A Plasma Generator Rev. A 0190-08034  Tested

DCG-200A ENI DC22S-A022000010AL RF Generator Slave Rev. D AMAT 0190-07963 

Brooks Automation 001-4700-12 Genus InCooler Cooling Station  Working

DCG-200Z ENI DC22S-Z022000010A Plasma Generator Rev. C 0190-08034  Working

DCG-200Z ENI DC22S-Z122000010A RF Generator Slave Rev. D AMAT 0190-07965 

DCG-200A ENI DC24M-A041300110AL RF Generator Master Rev. C AMAT 0190-07962 

DCG-200A ENI DC24M-A041300110AL RF Generator Master Rev. G AMAT 0190-07962 

DCG-200A ENI DC22S-A022000010AL RF Generator Slave Rev. C AMAT 0190-07963 

DCG-200Z ENI DC24M-Z041300110A RF Generator Master Rev. C AMAT 0190-08033 

DCG-200A Optima ENI DCG-200A-S00 RF Generator Slave Rev. B AMAT 0190-07967 

DCG-200A ENI DC22S-A022000010AL RF Generator Slave Rev. F AMAT 0190-07963 

DCG-200A ENI DC22S-A022000010AL RF Generator Slave Rev. E AMAT 0190-07963 

AMAT Applied Materials 0010-22569 Chamber Assembly 409909-P4-ECH2 Rev. 001 

Balzers 150mm Wafer Carousel Assembly LLS 801 Sputtering System  Working

Balzers 200mm Wafer Carousel Assembly LLS 801 Sputtering System  Working

Brooks Automation 002-0000r02 Wafer Handling Robot Untested As-Is

AMAT Applied Materials 9010-01181 ITL Load Lock Door Module 0021-07994 

AMAT Applied Materials 9010-00299 ITL Load Lock Door Module 0021-07994 

Sumitomo Electric Industries 4S587-245-2 ADE1 H Control Unit NSR  Working

Alcatel 34 Turbo Drag Dry High Vacuum Pump DRYTEL 30 Tested Not Working As-Is

DCG-200Z ENI DC22S-Z022000010A Plasma Generator Rev. F 0190-08034  Tested

DCG-200Z ENI DC22S-Z022000010A 0190-08034 Damaged Breaker  Tested Working

ASQ Technology AT2S8-25 200mm Wafer Transfer Machine Victor  Tested Working

Asyst 9750-2000-00 300mm Load Port SMIF-300FL, S3, 25WFR 78-115406A26 I/O B 

Asyst Technologies 9701-1256-01 300mm Load Port S3 FL, BB MAP, A-TAG, 1BUT 

AceCo S33-1574Y Upper Electrode Y203 300mm New

AceCo S33-1862Y Depo Shield Y203 New

TEL Tokyo Electron ES3D10-202163-V1 300mm Depo Shield Refurbished

Kawasaki NS110C-B001 Chuck Box Robot 4K192-238-4 NSR-S307E DUV Cut Cables As-Is

AMAT Applied Materials 0010-21745 Endura 5500 Operator Control Panel 

Asyst Technologies 9700-5158-01 300mm Load Port SIMF-300FL  Working

AMAT Applied Materials 0010-70001 8" Cassette Handler 0223-09246 P5000 

AMAT Applied Materials 0040-61813 200mm Chamber Centura RTP  Working

AMAT Applied Materials 0040-61814 200mm Chamber Centura RTP  Working

Furukawa UCTM-201-FH 150mm Wafer UV Film Tape Mounter  Tested Working

Leybold 844235 COOLVAC Power Supply AMAT Applied Materials 0190-03798 New

KLA-Tencor 716-450103-00 Stage Assembly 5107 Overlay Inspection System 5100 

Hitachi Power Distribution and EMO Assembly 560-5519 569-5524 S-9300 CD SEM 

Fisher Hamilton 54L Bench Fume Hood SAFEAIRE Series  Working

Indramat 222721 Servo MAC112C-0-ED-1-B/130-A-0/-I00625/S005 AMAT 1080-90009 

RECIF Technologies SPPF50A60000 Wafer Handler Assembly SPP300F05 SPP300 

Mitsubishi RV-E14NHC-SA06 Industrial Robot HTR QC-20C-S44  Untested As-Is

Mitsubishi RV-E14NHC-SA06 Industrial Robot HTR Brake Box Untested  As-Is

VERTEQ 1076584-3C / 1076585-1 8201 Primary Processor RD Controller Set

VERTEQ 1076584-3 / 1076585-1 8201 Primary Processor RD Controller Set

Lam Research 716-021049-141 Major Flat 4620 Wafer Clamp New

ASM 78-123494A04-R ASSY-SCARA ARM-10.5

PRI Automation GATEWAY-1000-CE-S2 300mm Load Port  Working

Pacific Precision Labs ST.SL0808.RR.P200 X-Y-Theta Stage Opti-Probe 2600B 

Mitsubishi RV-E14NHC-SA06 Industrial Robot HTR Missing Cover Untested As-Is

Mitsubishi RV-E14NHC-SA06 Industrial Robot HTR Wafer Handling Copper As-Is

XDS 10 Edwards A726-01-906 Dry Scroll Pump XDS10  Tested Working

ASM 02-337061-01 ASSY-H2 CHASSIS S2 STANDARD V1

Thermo Polysonics Ultrasonic Flowmeter KC-DCT1088

GALIL DMC-730-PSX 3 Axis Motion Controller

ASM 1002-660-01 PLATE-CHANNEL GAS N2 2.5 TAPER ALD6550

ASM 50-125346U01 Gate Valve IN VAT 03112-LH24-ABG1/0105

ASM 16-404844-01 Weldment Launder Low Volume Rev.A

MRC Materials Research A125807 Back Plane Heater 150mm Eclipse Star New

MRC Materials Research A115893 Back Plane Heater 100mm Eclipse Star New

Axcelis Microwave Waveguide Assembly GAE GA3107 H3862 Fusion E53  Working

Nikon 4S022-001 Single Board Computer IF3X8-2 NSR-620D Immersion  Working

Nikon 4S015-499-FP Single Board Computer PCB NK-MPC7457 NSR-S620D  Working

Nikon 4S025-072-1 Processor Card PCB IF2X8-HUB NSR-S620D Immersion  Working

Nikon 4S019-645-1 Processor Control Card PCB AFX6BD1-MAIN NSR-S620D  Working

Nikon 4S018-379 Processor Control Card PCB SPIOX2 NSR-S204B Step-and-Repeat 

ASM / STEC 54-123117A43 / FC-4600 MFC MASS FLOW CONTROLLER H2 100 SLM UC AI @30P

ASM 02-331508-06 ASSY-H2 DET-RIKEN-W/VLL/SISC-FS

Karl Suss 200.0644.6 CHUCK 6" SUSS

TEL Tokyo Electron Operator Interface CSB Cassette Block ACT12  Working

Sinfonia Technology SCE92100137 Dual Arm Wafer Transfer Robot Untested As-Is

Nikon 4S587-735 VCM Amplifier SPA156A NSR-S205C System  Working

Brooks Automation 06-80010598-001 TECHWARE 5 Interface Controller 

Matrox IM-1280/E/1/4/F Video Board Image Series PCB KLA-Tencor 2552X 

KLA Instruments 710-657412-20 2132 Wafer Defect Inspection System Robot 

VAT F14-62425-09 Pneumatic High Vacuum Gate Valve  Working

Hitachi M-05A2LS-400K RF Matching Box M-712E Shallow Trench Etcher  Working

Novellus 02-259457-00 C3 Vector Spindle Complete Assembly Rev. J  Working

Novellus 02-259457-00 C3 Vector Spindle Complete Assembly Rev. J Copper 

Hitachi M-511E Systems PCB Power Supply Module Plasma Etching System 

Hitachi M-511E Wafer Alignment Unit Vacuum Chuck Assembly  Working

Hitachi M-511E Wafer Cassette Ergo Loader Assembly  Working

Hitachi M-511E Microwave Plasma Etching System Mapping Sensor Robot  Working

SVG 879-8210-001-B Signal Conditioner PCB Board A3101  Working

HC Controls TS18 2SL AC Control Module QSI Group Semitool TP177B DP-6 MSTN As-Is

Nikon 4S008-089 Relay Board PCB AF-ADCX4A NSR-S204B Scanning System 

Nikon 4S013-355 Control Board PCB LSIOP-A 4S015-227 NSR-S204B  Working

TI MC-781P-0177 VRA Search Camera 254189-00001 NSR-S205C  Working

Harmonic Drive RH-8D-3006-E100D0 DC Servo Motor 0068501680 Nikon NSR-S205C 

Yaskawa RH-8D-6006-E100D0 DC Servo Actuator NSR-S205C 3rd Group Zoom 

Nikon 4S005-274 Reflectance Sensor RFLCT-XB NSR-S205C Exposure System 

Harmonic Drive RH-8D-3006-E100D0 Servo Acuator Nikon NSR-S205C Fly's Eye 

AMAT Applied Materials 9090-00442 Decel PSU Resistor Chassis 9010-01409ITL 

Yaskawa Electric XU-CM5500 Robot Controller 4S064-734 NSR-S307E DUV System 

Nikon 4S013-355 Control Board PCB LSIOP-A 4S015-227 NSR-S307E DUV  Working

Nikon 4S015-065 NSR System Control PCB NK-C302  Working

Harmonic Drive RH-8D-3006-E100D0 3rd Group Zoom Servo Acutuator NSR-S205C 

Nikon 4S013-355 IFIOPIF Control Board 4S015-227 NK8601A NSR-S204B  Working

MRC Materials Research RMX Magnet Assembly AlCu.2% TARGE GUN Eclipse 

MRC Materials Research RMX Magnet Assembly TiW TARGE GUN Eclipse 

MRC Materials Research RMX Magnet Assembly Ti TARGE GUN Eclipse 

MRC Materials Research RMX Magnet Assembly V TARGE GUN Eclipse 

MRC Materials Research RMX Magnet AlCu.5% TARGE GUN NCI-13D3 Eclipse 

MRC Materials Research RMX Magnet AlCu.2% TARGE GUN NCI-13D3 Eclipse 

MRC Materials Research Plenum Chuck Head S-A00290 Nupro SS-BNV51-C Eclipse 

MRC Materials Research Plenum Wafer Chuck Head Mosier S-A00290 Eclipse Star 

MRC Materials Research A114929 Plenum Chuck Assembly Eclipse Star  Working

MRC Materials Research A126387 RMX Magnet 51K40GN-AW TARGE GUN Eclipse Star 

MRC Materials Research RMX Magnet 51K40GN-AW TARGE GUN Eclipse Star  Working

RFX 1250 AE Advanced Energy 5012-000-B RF Generator 13.56MHz  Tested Working

RFX 1250 AE Advanced Energy 5012-000-J RF Generator 13.56MHz  Tested Working

AMAT Applied Materials 0010-09750 Phase II RF Match Precision 5000  Working

AMAT Applied Materials 0010-09750 CVD RF Match Precision 5000  Working

GVSP30 Edwards A71004907XS Dry Scroll Vacuum Pump Copper GVSP 30 Tested As-Is

MRC Materials Research A110700 RMX Magnet Assembly TARGE GUN Eclipse Star 

MRC Materials Research A119124 RMX Magnet Assembly TARGE GUN Rev. M1 

MRC Materials Research A114575 Index Chuck Assembly Eclipse Star  Working

MRC Materials Research A0789A Plenum Chuck Assembly Eclipse Star  Working

MRC Materials Research A119124 RMX Magnet Assembly TARGE GUN D101-131 

MRC Materials Research A118144 Wafer Chuck Head Cylinder S-23503-1 Eclipse 

Nikon 200mm Wafer Prealigner Assembly 2S013-076 OPTISTATION 3  Working

Genmark Automation 400800032 Classic Transfer Robot  Tested Working

Nikon 4S018-860 Relay Control Card PCB LMDRV5B NSR-S204B  Working

Cymer 06-02085-00 Blower Motor Control ISS1201-120-1002-85 ELS-6400 System 

Genmark 95033E0021 GNK Robot Indexer and Chamber ASM Epsilon 3000 Untested As-Is

Nikon 4S018-750 Relay Control Board PCB SPIOX4 NSR-S307E DUV 300mm  Working

Nikon 4S018-675-D-IO-1(3) Processor Board PCB D-IO-1 J1PC103B  Working

Nikon 4S018-675-D-IO-1(1) Processor Board PCB D-IO-1 J1PC103B  Working

Brooks 002-7391-08 Wafer Pre-Aligner KLA-Tencor 750-614710-000 eS20XP 

AA40W Ebara AA40WNv1-E Dry Vacuum Pump  Tested Not Working

Advantech IPC-610BP-250 Vision PC 610 LKT Automation TMT 1214  Working

Advantech IPC-610BP-250 Handler PC 610 LKT Automation TMT 1214  Working

MRC Materials Research Wafer Chuck Head Cylinder Norgren S-A00936 Eclipse 

Seren 9600730004 RF Power Supply Lightwind R101  Tested Working

Seren 9600730005 RF Power Supply Lightwind R101  Tested Working

Hitachi M-05A2LS-400K Vpp RF Matching Box M-511E Plasma Etcher  Working

Xynetics-Electroglas RMHM4 Controller 247213-003 Horizon 4085X  Working

AMAT Applied Materials 3700-99008 Motor Assembly XR80 Implanter  Working

National Instruments 196848A-01 PXI Controller PXI-1031 OEM 1923725001 

AE Advanced Energy 3153137-932 LITMAS RPS AMAT 0190-39814 Copper Tested As-Is

AE Advanced Energy 3153137-932 LITMAS RPS Remote Plasma Source No Power As-Is

Fisher Hamilton X54L588PO Safeaire Fume Hood 54L  Tested Working

Spendrup 140-10 Exhaust Fan with Motortronics CSD-410-N ASM Epsilon 3000 

ASTeX FI20638 3.5 KW Assembly Magnetron Head D13449 AG9131 A  Working

Nikon Photoelectric Sensor Module Omron Z4LC-S28 NSR S307E  Working

Bio-Rad Y7802100 Microscope Objective Assembly Y8000080 Quaestor Q7  Working

ASML 4022.470.7782 Control Card Module  Working

Brooks Automation 8600-65BAJ 200mm Wafer Indexer  Working

Yaskawa DDMQF-SR22311 System Robot Controller PAC/ELC2 SRC-II 006  Working

DNS Dainippon Screen 150mm Wafer Developer Stage SC-W60A-AV Photoresist 

Aerotech ATS80040 Linear Stage Assembly 99711 ATS80025 MT420 MT540 MX25-B 

FEI Company 565 002 793 Wafer Stage Assembly CLM-3D 200mm CLM S-9SRH-0176 

Nikon 4S014-140 Processor Board PCB OF3CNT4 NSR-S204B Step-and-Repeat 

Nikon 4S018-144-A Interface Board PCB C30-I/F NSR-S204B Step-and-Repeat 

Nikon 4S007-776-H Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat 

Nikon 4S018-144 Interface Board PCB C30-I/F NSR-S204B Step-and-Repeat 

Nikon 4S007-776-F Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat 

NEAT 330UP 300mm Wafer Stage and Controller 553174 KLA-Tencor 5107  Working

KLA-Tencor 5107 System Computer PC 150mm Overlay Inspection System  Working

Nikon OPTISTATION 3 200mm Wafer Inspection Stage Assembly 2S700-587  Working

TEL Tokyo Electron 5087-403670-12 Interface Block Robotic Track Set Lithius 

Kyosan Electric HPK10ZI-TE1 DC Power Supply  Working

Radisys 63-0050-02 Processor Module 100MHz VMEBUS EPC-5A EXM-13A  Working

ESDP 30 Edwards ESDP 30 A Dry Scroll Pump ESDP30A  Tested Not Working As-Is

Lam Research 852-014681-F-1957 RF Generator Cart 851-014680-005-E-1957 

Novellus 02-324753-00 C3 Vector Spindle Assembly Rev. 2  Working

Novellus 02-304871-00 RF Match Assembly Aluminium SST-PEEK 1.12 Untested As-Is