欢迎访问ic37.com |
会员登录 免费注册
发布采购

OR3TP12 参数 Datasheet PDF下载

OR3TP12图片预览
型号: OR3TP12
PDF下载: 下载PDF文件 查看货源
内容描述: 现场可编程系统芯片( FPSC )嵌入式主机/目标PCI接口 [Field-Programmable System Chip (FPSC) Embedded Master/Target PCI Interface]
分类和应用: PC
文件页数/大小: 128 页 / 2358 K
品牌: AGERE [ AGERE SYSTEMS ]
 浏览型号OR3TP12的Datasheet PDF文件第4页浏览型号OR3TP12的Datasheet PDF文件第5页浏览型号OR3TP12的Datasheet PDF文件第6页浏览型号OR3TP12的Datasheet PDF文件第7页浏览型号OR3TP12的Datasheet PDF文件第9页浏览型号OR3TP12的Datasheet PDF文件第10页浏览型号OR3TP12的Datasheet PDF文件第11页浏览型号OR3TP12的Datasheet PDF文件第12页  
ORCA
OR3TP12 FPSC
嵌入式主机/目标PCI接口
数据表
2000年3月
FPGA逻辑概述
ORCA
系列3 FPGA逻辑是新一代
建立在成功的基于SRAM的FPGA逻辑
朗讯科技微型系列2 FPGA线
电子集团,以增强与创新
面向今天的高速设计和tomor-
行的系统中的单个芯片上。从一开始设计
是合成友好和减少的布局和布线
时间,同时保持了完整的可路由
ORCA
系列2的设备,该系列3多dou-
BLES在各逻辑块并将其纳入现有的逻辑
率的系统级功能,可以进一步降低
逻辑要求,提高系统的运行速度。
ORCA
3系列器件包含了许多新的专利enhance-
ments ,并提供各种套餐,速度
等级,以及温度范围。
ORCA
3系列FPGA逻辑由三个基本元素
ments :的PLC ,可编程输入/输出单元( PICS) ,
和系统级功能。 PLC的数组是河畔
由太平洋岛国四舍五入。每个PLC包含一个PFU ,一个SLIC ,
本地路由资源和配置RAM 。最
在FPGA中,在PFU执行逻辑,但decod-
ERS ,
PAL-样
功能,并且三态缓冲可以是
在SLIC执行。太平洋岛国提供设备的投入
和输出,并可以被用来注册信号,并
执行输入解复用,输出复用,并
其他功能上的两个输出信号。有些系的
统级功能,包括新的微处理器
接口(MPI )和
PCM 。
*
Verilog的
VHDL
注册Cadance设计的商标。
系统公司
描述
(续)
FPSC设计套件
发展是由FPSC设计套件提供便利
其中,连同
ORCA
代工和第三方
综合和仿真引擎,提供所有软件
和所需文件来设计和验证的
FPSC实施。包括在套件是FPSC
配置管理器,
Verilog的
*和
VHDL *
模拟
模型,所有必要的综合库,并完成
在线文档。该套件的软件夫妇
ORCA
的控制下代工
ORCA
铸造厂
控制中心( OFCC ) ,提供了无缝FPSC
设计环境。可以得到更多的信息
通过访问
ORCA
网站或联系当地
销售办事处,这两个文档的最后一页列出
换货。
ORCA
铸造开发系统
ORCA
铸造开发系统用于
处理从网表到配置FPSC设计。
这个系统被用于映射设计到
ORCA
建筑再布局布线使用它
ORCA
Foundry的时序驱动的工具。开发系统
还包括接口和库,其他的民粹
LAR CAE工具进行设计输入,综合,仿真,
和定时分析。
ORCA
铸造开发系统接口,以
前端设计输入工具,并提供了工具,
产生一个配置FPSC 。在设计流程中,
用户定义的FPGA部分的功能
在设计输入的FPSC和嵌入式核心设置
阶段。嵌入式内核选项确定
FPSC功能。
下面的设计输入,开发系统的地图,
放置和布线工具翻译网表到路由
FPSC 。静态时序分析工具提供以确定
矿井设计速度和背注网表可以
可以创建允许模拟。模拟输出文件
ORCA
铸造也与许多兼容
第三方分析工具。其码流发生器
然后用于生成配置数据即
装入FPSC的内部配置的RAM。
当使用FPSC配置管理,用户
选择影响FPSC的功能选项。
结合的前端工具,
ORCA
铸造亲
duces实现各种配置数据
逻辑和路由选项本数据手册中讨论。
8
朗讯科技公司
朗讯科技公司