欢迎访问ic37.com |
会员登录 免费注册
发布采购

EP1S40B1508I7ES 参数 Datasheet PDF下载

EP1S40B1508I7ES图片预览
型号: EP1S40B1508I7ES
PDF下载: 下载PDF文件 查看货源
内容描述: Stratix器件系列数据手册 [Stratix Device Family Data Sheet]
分类和应用:
文件页数/大小: 290 页 / 3583 K
品牌: ALTERA [ ALTERA CORPORATION ]
 浏览型号EP1S40B1508I7ES的Datasheet PDF文件第32页浏览型号EP1S40B1508I7ES的Datasheet PDF文件第33页浏览型号EP1S40B1508I7ES的Datasheet PDF文件第34页浏览型号EP1S40B1508I7ES的Datasheet PDF文件第35页浏览型号EP1S40B1508I7ES的Datasheet PDF文件第37页浏览型号EP1S40B1508I7ES的Datasheet PDF文件第38页浏览型号EP1S40B1508I7ES的Datasheet PDF文件第39页浏览型号EP1S40B1508I7ES的Datasheet PDF文件第40页  
Logic Elements  
Figure 2–8 shows the carry-select circuitry in an LAB for a 10-bit full  
adder. One portion of the LUT generates the sum of two bits using the  
input signals and the appropriate carry-in bit; the sum is routed to the  
output of the LE. The register can be bypassed for simple adders or used  
for accumulator functions. Another portion of the LUT generates carry-  
out bits. An LAB-wide carry in bit selects which chain is used for the  
addition of given inputs. The carry-in signal for each chain, carry-in0  
or carry-in1, selects the carry-out to carry forward to the carry-in  
signal of the next-higher-order bit. The final carry-out signal is routed to  
an LE, where it is fed to local, row, or column interconnects.  
The Quartus II Compiler automatically creates carry chain logic during  
design processing, or you can create it manually during design entry.  
Parameterized functions such as LPM functions automatically take  
advantage of carry chains for the appropriate functions.  
The Quartus II Compiler creates carry chains longer than 10 LEs by  
linking LABs together automatically. For enhanced fitting, a long carry  
chain runs vertically allowing fast horizontal connections to TriMatrix™  
memory and DSP blocks. A carry chain can continue as far as a full  
column.  
2–12  
Stratix Device Handbook, Volume 1  
Altera Corporation  
July 2005