欢迎访问ic37.com |
会员登录 免费注册
发布采购

EP1S40B1508C6ES 参数 Datasheet PDF下载

EP1S40B1508C6ES图片预览
型号: EP1S40B1508C6ES
PDF下载: 下载PDF文件 查看货源
内容描述: Stratix器件系列数据手册 [Stratix Device Family Data Sheet]
分类和应用:
文件页数/大小: 290 页 / 3583 K
品牌: ALTERA [ ALTERA CORPORATION ]
 浏览型号EP1S40B1508C6ES的Datasheet PDF文件第130页浏览型号EP1S40B1508C6ES的Datasheet PDF文件第131页浏览型号EP1S40B1508C6ES的Datasheet PDF文件第132页浏览型号EP1S40B1508C6ES的Datasheet PDF文件第133页浏览型号EP1S40B1508C6ES的Datasheet PDF文件第135页浏览型号EP1S40B1508C6ES的Datasheet PDF文件第136页浏览型号EP1S40B1508C6ES的Datasheet PDF文件第137页浏览型号EP1S40B1508C6ES的Datasheet PDF文件第138页  
I/O Structure  
Figure 2–64. Stratix IOE in Bidirectional I/O Configuration Note (1)  
Column or Row  
Interconnect  
ioe_clk[7..0]  
I/O Interconnect  
[15..0]  
OE  
OE Register  
Output  
Delay  
D
Q
t
ZX  
clkout  
ENA  
CLRN/PRN  
OE Register  
t
Delay  
Output  
Enable Clock  
Enable Delay  
CO  
ce_out  
V
CCIO  
Optional  
PCI Clamp  
Output Clock  
Enable Delay  
V
CCIO  
Programmable  
Pull-Up  
Resistor  
aclr/prn  
Chip-Wide Reset  
Output Register  
Logic Array  
to Output  
Register Delay  
Output  
Pin Delay  
D
Q
Drive Strength Control  
Open-Drain Output  
Slew Control  
sclr/preset  
ENA  
CLRN/PRN  
Input Pin to  
Logic Array Delay  
Bus-Hold  
Circuit  
Input Pin to  
Input Register Delay  
Input Register  
D
Q
clkin  
ce_in  
Input Clock  
Enable Delay  
ENA  
CLRN/PRN  
Note to Figure 2–64:  
(1) All input signals to the IOE can be inverted at the IOE.  
The Stratix device IOE includes programmable delays that can be  
activated to ensure zero hold times, input IOE register-to-logic array  
register transfers, or logic array-to-output IOE register transfers.  
A path in which a pin directly drives a register may require the delay to  
ensure zero hold time, whereas a path in which a pin drives a register  
through combinatorial logic may not require the delay. Programmable  
delays exist for decreasing input-pin-to-logic-array and IOE input  
register delays. The Quartus II Compiler can program these delays to  
automatically minimize setup time while providing a zero hold time.  
Programmable delays can increase the register-to-pin delays for output  
2–110  
Stratix Device Handbook, Volume 1  
Altera Corporation  
July 2005