欢迎访问ic37.com |
会员登录 免费注册
发布采购

XC2C128 参数 Datasheet PDF下载

XC2C128图片预览
型号: XC2C128
PDF下载: 下载PDF文件 查看货源
内容描述: 的CoolRunner -II CPLD系列 [CoolRunner-II CPLD Family]
分类和应用:
文件页数/大小: 16 页 / 208 K
品牌: XILINX [ XILINX, INC ]
 浏览型号XC2C128的Datasheet PDF文件第5页浏览型号XC2C128的Datasheet PDF文件第6页浏览型号XC2C128的Datasheet PDF文件第7页浏览型号XC2C128的Datasheet PDF文件第8页浏览型号XC2C128的Datasheet PDF文件第10页浏览型号XC2C128的Datasheet PDF文件第11页浏览型号XC2C128的Datasheet PDF文件第12页浏览型号XC2C128的Datasheet PDF文件第13页  
R
CoolRunner-II CPLD Family
Additional Clock Options: Division,
DualEDGE, and CoolCLOCK
Clock Divider
A clock divider circuit has been included in the
CoolRunner-II CPLD architecture to divide one externally
supplied global clock by standard values. The allowable val-
ues for the division are 2, 4, 6, 8, 10, 12, 14, and 16 (see
This capability is supplied on the GCK2 pin. The
resulting clock produced has a 50% duty cycle for all possi-
ble divisions. The output of the clock divider is on global
routing. If the clock divider is used, the undivided clock is
available internally. If the undivided clock is required inter-
nally it is input through a separate clock pin.
The clock divider circuit encompasses a synchronous reset
(CDRST) to guarantee no spurious clocks can carry
through on to the global clock nets. When the CDRST signal
is asserted, the clock divider output is disabled after the cur-
rent cycle. When the CDRST signal is deasserted the clock
divider output becomes active upon the first edge of GCK2.
The CDRST pin functions as a reset pin regardless of which
CLK_DIV primitive is used. If a clock divider is used in the
design, the CDRST pin is reserved and if it is driven High
the clock divider is reset. If a reset port of a clock divider is
not used, it is tied Low on the board. The clock divider circuit
includes an active High synchronous reset, referred to as
CDRST.
The CoolRunner-II CPLD clock divider includes a built-in
delay circuit. With the delay feature enabled, the output of
the clock divider is delayed for one full count cycle. When
used, the clock divider does not output a rising clock edge
until after the divider reaches the delay value. The delay fea-
ture is either enabled or disabled upon configuration.
Xilinx Synthesis Technology (XST) allows a clock divider
component to be instantiated directly in the HDL source
code. See
for instantiation examples in VHDL,
Verilog, and ABEL.
DualEDGE
Each macrocell has the ability to double its input clock
switching frequency.
shows the macrocell flip-flop
with the DualEDGE option (doubled clock) at each macro-
cell. The source to double can be a control term clock, a
product term clock or one of the available global clocks. The
ability to switch on both clock edges, also known as dual
edge triggered (DET), is vital for a number of synchronous
memory interface applications as well as certain double
data rate I/O applications.
CoolRunner-II CPLD DET registers can be used for logic
functions that include shift registers, counters, comparators,
and state machines. Designers must evaluate the desired
performance of the CPLD logic to determine use of DET
registers.
The DET register can be inferred in any ABEL, HDL, or
schematic design. A designer can infer a single-edge trig-
gered (SET) register in any HDL design. The DET register is
available with all macrocells in all devices of the
CoolRunner-II family.
CoolCLOCK
In addition to the DualEDGE flip-flop, power savings can
occur by combining the clock division circuitry with the
DualEDGE circuitry. This capability is called CoolCLOCK
and is designed to reduce clocking power within the CPLD.
Because the clock net can be an appreciable power drain,
the clock power can be reduced by driving the net at half fre-
quency, then doubling the clock rate using DualEDGE trig-
gering at the macrocells.
shows how CoolCLOCK
is created by internal clock cascading with the divider and
DualEDGE flip-flop working together.
GCK2 is the only clock network that can be divided, the
CoolCLOCK feature is only available on GCK2. The Cool-
CLOCK feature can be implemented by assigning an
attribute to an input clock. The CoolCLOCK attribute
replaces the need to instantiate the clock divider and infer
DET registers. The CoolCLOCK feature is available on
CoolRunner-II 128 macrocell devices and larger. See
for more detail.
GCK2
Clock
In
÷2
÷4
÷6
÷8
÷10
÷12
÷14
÷16
CDRST
CDRST
DS090_08_121201
Figure 8:
Clock Division Circuitry for GCK2
DS090 (v3.1) September 11, 2008
Product Specification
9