欢迎访问ic37.com |
会员登录 免费注册
发布采购

NSR-S205C

日期:2018-5-3类别:会员资讯 阅读:881 (来源:互联网)
公司:
漳州鼎晟达自动化设备有限公司
联系人:
林工
手机:
18105962658
电话:
18105962658
传真:
0596-3119658
QQ:
2880842791 2880842790
地址:
漳州市漳浦县绥安镇金浦路中段东侧金浦花园1幢302
摘要:Nikon WL4 Load Unload Slider NSR-S205C Step-and-Repeat Exposure System Nikon WL4 Load Unload Slide

Nikon WL4 Load Unload Slider NSR-S205C Step-and-Repeat Exposure System 

Nikon WL4 Load Unload Slider NSR-S205C Step-and-Repeat Exposure System 

Nikon WL4 Load Unload Slider NSR-S205C Step-and-Repeat Exposure System 

         咨询热线:18105962658(林工)
诚信经营,质量为首,诚信至上,鼎晟达竭诚为您服务               
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~`  
漳州鼎晟达自动化设备有限公司       
 联系人:林经理(销售经理)                                         
手机      :18105962658(微信同步)
QQ        :2880842791                        
邮箱      :2880842791@qq.com
传真      :0596-3119658(请备注林工收)                                         
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
*所有备件的质保期均为1年,经过专业测试认证。
*如果您需要订购产品超过1件,请与我们联系,我们可以为您提供折扣。
*我们仅采用快递方式递送备件。(顺丰)
*如果您发现其他供货商为相同产品提供更为低廉的价格,我们也愿意参照其价格向您提供进一步的折扣。如果还有其他任何问题,请随时与我们联系。
*请让我们知道您有任何,备品,备件,的需要,我们可以给您进一步的援助,我们等候您的询盘。
【主营产品】
1:Invensys Foxboro(福克斯波罗):I/A Series系统,FBM(现场输入/输出模块)顺序控制、梯形逻辑控制、事故追忆处理、数模转换、输入/输出信号处理、数据通信及处理等。

2:Invensys Triconex: 冗余容错控制系统、基于三重模件冗余(TMR)结构的最现代化的容错控制器。

3:Westinghouse(西屋): OVATION系统、WDPF系统、WEStation系统备件。

4:Rockwell Allen-Bradley: Reliance瑞恩、SLC500/1747/1746、MicroLogix/1761/1763/1762/1766/1764、CompactLogix/1769/1768、Logix5000/1756/1789/1794/1760/1788、PLC-5/1771/1785等。

5:Schneider Modicon(施耐德莫迪康):Quantum 140系列处理器、控制卡、电源模块等。

6:ABB:工业机器人备件DSQC系列、Bailey INFI 90等。

7:Siemens(西门子):Siemens MOORE, Siemens Simatic C1,Siemens数控系统等。

8:Motorola(摩托罗拉):MVME 162、MVME 167、MVME1772、MVME177等系列。

9:XYCOM:I/O 、VME板和处理器等。

10:GE FANUC(GE发那科):模块、卡件、驱动器等各类备件。

11:Yaskawa(安川):伺服控制器、伺服马达、伺服驱动器。

12:Bosch Rexroth(博世力士乐):Indramat,I/O模块,PLC控制器,驱动模块等。

13:Woodward(伍德沃德):SPC阀位控制器、PEAK150数字控制器。

14:工业机器人系统备件。

Daihen WGA-50E-V 2MHz RF DC Power Generator 3D80-001480-V1  Tested Working

Shinko 3D80-000652-V2 LM-ARM-CONT(CE) Controller SCE92300006-2 TEL T-3044SS 

Shinko 3D80-000652-V3 LM-ARM-CONT(CE) Controller SCE92300006-3 TEL T-3044SS 

Shinko BX80-0001-40-V1 LM-ARM-CONT(CE) Controller SCE92300107 TEL T-3044SS 

iL70N Edwards A533-55-945 Dry Vacuum Pump Copper Exposed  Tested Working

iL70N Edwards NRB4-46-945 Dry Vacuum Pump 50310 Hours Copper  Tested Working

iL70N Edwards NRB4-46-945 Dry Vacuum Pump 50860 Hours Copper  Tested Working

Yaskawa VS2B Robot and Controller Set DDMQF-SR2232IR TEL Unity II  Working

iL70N Edwards NRB446945 Dry Vacuum Pump  Tested Working

SVG Silicon Valley Group 99-47988-01 Developer Coat Station 9032 90S DUV 

SVG Silicon Valley Group 99-51100-03 Developer Coat Station 9026 90S DUV 

Yaskawa VS2B Robot and Controller Set DDMQF-SR2232IR RC/ELC1 SRC-II 005 

STP-XA2703Y Edwards PT66-0Z-100 Turbo Pump  Tested Working

CANON PG-CR-B0D1 Scanner Wafer Transfer Robot & Controller

HEWLETT PACKARD 41501A SMU and Pulse Generator Expander

ASTEX AX7651-2 Astron Plasma Generator

ASM 1050-579-01 Kit - PWR CAB XP4 EMERALD K-MODEL

Nikon 4K177-955-4 RD Reticle Transfer Robot NSR-S204B Scanning System 

Nikon 4K177-955-3 RD Reticle Transfer Robot NSR-S204B Scanning System 

Nikon 4K177-955-2 RD Reticle Transfer Robot NSR-S204B Scanning System 

Nikon 4K177-955-1 RD Reticle Transfer Robot NSR-S204B Scanning System 

SVG Silicon Valley Group 859-9426-007 Wafer Inspection Stage  Working

Yaskawa Electric YR-CRJ3-A00 Industrial Robot MOTOMAN  Working

Lam Research 716-052311-002 Inner Arm Spatula End Effector New

Advanced Micropolish 122958200 CU Phase 2 AMD Assembly Lam Research New

Nikon WD Wafer Loader Robot NSR-S204B Step-and-Repeat Scanning System 

Edwards NRY2PC100 Vacuum System Pump Rack Frame Controller D37902000 

CTI-Cryogenics 3620-00474 IS-1000 Compressor LV AMAT Not Working No Power As-Is

Nikon 4S065-960 ADE Amplifier KT001637 NSR System  Working

Cambridge Fluid Systems 0010-91663 Gas Panel Assembly AMAT XR80  Working

MAG W 1500 CT Leybold 400020V0002 Turbomolecular Pump  Tested Working

MAG.DRIVE Leybold 400035V0001 Turbomolecular Pump Controller  Tested Working

CTI-Cryogenics 8116030G001 8F Cryopump AMAT 0190-76014  Tested Working

100P Leybold 13885 Dry Vacuum Pump DRYVAC2  Tested Working

Yaskawa XU-DV9020V Linear Motor Amplifier 4S587-408-2 NSR-S307E 300mm DUV 

Nikon 4S587-038-2 Linear Motor Controller SPA225A ADEXY NSR-S204B  Working

Nikon 4S587-038 Linear Motor Controller SPA225A ADEXY NSR-S204B  Working

Nikon 4S587-037-2 Linear Motor Controller SPA223A ADEZ NSR-S204B  Working

Nikon 4S587-037-1 Linear Motor Controller SPA223A ADEZ NSR-S204B  Working

Osaka Vacuum TG1300MBWC Compound Turbo Pump  Tested Working

Nikon 4S587-468-2 Linear Motor Controller SPA451A WX NSR-S307E DUV  Working

Yaskawa 4S587-156-1 Linear Motor Controller WY PWM Nikon NSR-S204B  Working

Yaskawa 4S587-156-3 Linear Motor Controller CLSR-42-N2CC-1 Nikon NSR-S204B 

Yaskawa 4S587-156-2 Linear Motor Controller CLSR-42-N2CB-1 Nikon NSR-S204B 

Nikon SPA452B Linear Motor Controller 4S587-470-3 Untested As-Is

Yaskawa XU-DL1910 Motor Controller 4S587-288 Nikon NSR-S204B  Working

TEL Tokyo Electron ICPL Interface Block Chill Plate Process Station Lithius 

Nikon WJ Wafer Transfer Robot NSR-S306C 200mm DUV Scanning System  Working

Brooks Automation 002-7200-21 200mm Wafer Loadport FEI CLM-3D  Working

Fujikin WVG-S2-Y-IB7 Water Vapor Generator Controller TEL Tokyo Electron New

IPX 500 Edwards NXD5-14-000 Dry Vacuum Pump Needs Rebuild  Tested Working

Nikon 4S587-470-3 Linear Motor Controller SPA452B WYR NSR-S307E DUV  Working

Nikon 4S587-471-2 Linear Motor Controller SPA454A MSCL NSR-S307E DUV 

Bio-Rad Y7604000 Wafer Protrude Sensor System Quaestor Q7  Working

Yaskawa XU-ACP4870 300mm Wafer Prealigner with Cables MAA0624A New Surplus

ASTeX Applied Science AX7650 Remote Plasma Source ASTRON  Tested Working

Nikon 4K177-955-1 RD Reticle Transfer Robot NSR-S202A Step-and-Repeat 

CTI-Cryogenics 8112904G001 On-Board 8F Cryopump 1 Hour  Tested Working

CTI-Cryogenics 8112892G001 On-Board 8F Cryopump No Cover  Tested Working

CTI-Cryogenics 8112892G001 On-Board 8F Cryopump 15034 Hours  Tested Working

MDX AE 3152194-004 Magnetron Drive 30kW Master Slave Set MRC Eclipse Star 

New Lam Research 839-443215-502 Electrode Silicon L Res. Small Hole 8

New ASM 1051-446-01 / Kyocera 709216 Exhaust Duct CBS-RC1

ASM 77-123776A48 SENSOR-WAFER LVL30

TEMPTRONIC CORPORATION TPO4010A-3020-2 Thermal Induction System

iQDP40 Edwards A532-40-905 Vacuum Pump with QMB250 Blower  Tested Working

Kensington 4000A Servo Positioning Controller AX Q2SL Stage Quaestor Q5 

Kensington 4000A Servo Positioning Controller with Q2SL Stage Quaestor Q5 

IPX 500A Edwards A409-14-977 Vacuum Dry Pump  Tested Not Working As-Is

New ASM 91210-35118 High Speed Switching Manifold Valve

Ebara Technologies 80x25 Multi-Stage Dry Vacuum Pump System Untested As-Is

Panasonic LSC System Controller Unit BP225-MJ  Working

MECS UTC 100A Robot Control System UTV 430

SGL CARBON LLC 712353 KIT BARREL SUSCEPTOR 150MM

Install Kit for ASM XP4 TRANSFORMER-34KVA 3PH PRI480V SEC380/120

Air Products 2Cyl AXO-AP1402TSMA-WF6 214463

TEL Tokyo Electron IRA Interface Block Robotics Arm ACT12 200mm Damaged As-Is

Microbar Trackmate Chemical Delivery Cabinet 4 Tanks SVG 90S DUV System 

Microbar Trackmate Chemical Delivery Cabinet 5 Tanks SVG 90S DUV System 

Microbar Trackmate Chemical Delivery Cabinet 3 Tanks SVG 90S DUV System 

New ASM 1049-842-01 Kit Retrofit SCE 3 BK 1200 MOD VSL

New ASM 2398095-01 Assy Elec MSC 3 PC Industrial Technologies IT7586-G2A1440PFA1

New ASM 02-143889-01 Assembly PLC TC1

Kokusai CX1209B Controller Module MCCU200-04 DMCU200-07 Vertron DD803V 

ASM 16-186296E01 FLANGE-INJ-TOP LOAD-300MM

Yaskawa UT0PI-020SC Minertia Motor REX Theta-Axis UGQMEM-01SNQ71 NSR-S205C 

Yaskawa UT0PI-020SC Minertia Motor REX Theta-Axis UGQMEM-01SNQ71 NSR-S307E 

Harmonic Drive RH-11C-3001-E100D0 Servo Actuator Hi-T Drive Nikon NSR-S205C 

Yaskawa UTOPI-0SC Minertia Motor REX Theta-Axis UGQMEM-01SNQ71 NSR-S204B 

TEL Tokyo Electron COT Coat Process Station COT 2.1 Lithius Incomplete As-Is

Lam Research 715-011204-001 Harmonic Arm 852-011201-001-D Rainbow 4420 

Nikon RS Shock Absorber (RFC) NSR-S204B Step-and-Repeat Scanning System 

Lam Research 11201-1-D-00332136 Harmonic Arm Load Lock 715-011204-001 

Lam Research 11200-3-E-00182139 Harmonic Arm Load Lock 715-012139-001 

AMAT Applied Materials 0010-35937 RF Match Assembly Rev. 03 New

Lam Research 852-011201-001-L-230 Harmonic Arm Drive Chamber 715-130092-008 

Lam Research 852-011201-583-A-LLMAIN Harmonic Arm Drive 715-130092-008 

Lam Research 852-011201-001-E-231 Harmonic Arm Drive Chamber 715-12101-8 

Lam Research 852-011200-003-L-230S Harmonic Arm Chamber 853-012123-001-G 

Newport 15-3701-1425-25 Wafer Transfer Robot AMAT 0190-19124 Refurbished

MAG.DRIVE Leybold 400035V0011 Turbomolecular Pump Controller  Tested Working

TEL Tokyo Electron 842 DEV Develop Process Station (L) Type ACT12 No Cup As-Is

TEL Tokyo Electron 843 DEV Develop Process Station (R) Type ACT12 200mm As-Is

Osaka Vacuum TG2003MBW-70 Compound Turbomolecular Pump  Tested Working

Harmonic Drive Systems RH-11C-3001-E010D0 REX Theta Motor NSR-S204B  Working

Nikon 4G680-526AN RPF 2% Concave NSR-S204B New

Nikon 4G680-674AN RPF 1W FORM NSR-S204B New

Nikon NSR 4G680-389AN Scanner RPF 6.5% New

Nikon 4G680-334BN 9.5% Concave RPF NSR-S204B Scanner New

TEL Tokyo Electron X AXIS (CRA) IPL Career Station 300mm Wafer Lithius 

KLA-Tencor 0031663-000 Measurement Head  Working

Tachibana Tectron TVME2500 VME PCB Card NEC-16T Rev. B TVME2500-CRD  Working

Brooks Automation 0404-11544 300mm Load Port FIXLOAD V5 AMAT 0190-15597 New

Brooks Automation 013077-079-20 300mm Load Port FIXLOAD 25 V5 New

TMP Shimadzu TMP-3203LMC-K1 Turbo-molecular Pump  Tested Working

Opal 50312403000 Controller Chassis CDM DR-300 AMAT SEMVision cX  Working

AMAT Applied Materials 300mm Wafer Transfer Arm AMAT SEMVision cX  Working

TEL Tokyo Electron 847 ADH Adhesion Process Station ACT12-200 No Chamber As-Is

TMU 262 X Pfeiffer PM P03 115 Turbomolecular Pump with TC100  Working

HiPace 300 Plus Pfeiffer PM P03 989 Turbomolecular Pump TC 110 PM C01 790 A 

HiPace 300 Plus Pfeiffer PM P03 989 Turbomolecular Pump TC 110 PM C01 790 

BSL Buckley Systems 0010-92170-ITL Precision Electromagnet AMAT XR80 As-Is

TEL Tokyo Electron 2985-429208-W4 300mm Sub Unit Adhesive Module Missing As-Is

TEL Tokyo Electron 2985-429208-W4 Adhesive Module Base ACT12-300 300mm As-Is

ChemWest Systems 420518 Automated Slurry Filter Cabinet Copper  Working

Newport Kensington 15-3702-1425-25 Wafer Transfer Robot 0190-22248CW Refurbished

CX-2500 Comdel FP3305R1 RF Generator 3.39MHz New Surplus

Novellus Systems R02-281532-00 RF Match Source Aluminum Coil Refurbished

MRC Materials Research A120946 Chamber Assembly Eclipse Star  Working

TEL Tokyo Electron PB1-U125-01-T AC Power Box CleanTrack ACT8 Untested As-Is

AMAT Applied Materials 0021-11382 CPI-VCM Chamber Source 3 Magnet Assembly 

TEL Tokyo Electron COT Coat Process Station COT 2.2 Lithius Incomplete As-Is

TEL Tokyo Electron 847 ADH Adhesion Process Station ACT12-200 Damaged As-Is

TEL Tokyo Electron 844 LHP Low Temperature Hot Plate ACT12-300 Incomplete As-Is

AMAT Applied Materials RHS-20-50-CC-SP Wafer Transfer Drive SEMVision cX 

Apex 5513 AE Advanced Energy 3156115-053 RF Generator F/R E  Tested Working

CTI-Cryogenics 8112892G001 On-Board 8F Cryopump No Cover  Tested Working

Synergy Microsystems 0090-76110 V21 VME PCB Card AMAT Precision 5000 Refurbished

Schumacher 1464-0322 ATCS-15 H.T Absolute Temperature Controller 1442-0010C 

APEX 3013 AE Advanced Energy 3156113-009 RF Generator MeiVac  Tested Working

Apex 5513 AE Advanced Energy 3156115-053 RF Generator F/R A  Tested Working

Apex 5513 AE Advanced Energy 3156115-253 RF Generator 27-368622-00  Working

TEL Tokyo Electron 842 DEV Develop Process Station (L) Type ACT12 200mm As-Is

HiPace 80 Pfeiffer PM P03 940 A Turbomolecular Pump with TC 110 Refurbished

TOKYO ELECTRON LTD. 1885-022352-17 Electron Bottom, PC

Operon DFU-128CE Ultra Low Temp Freezer

ASM D004651D SOLID SOURCE CONTAINER- HIG

ASTEK AHT3-LAI-01 HT3 Packet Analyzer

CADENCE 2-06680 AC / DC Converter Board Module for Palladium III Emulator

SCHUMACHER 1483-0401A V MDOT Delivery System, Model VMD-AVP

SCHUMACHER 1483-2201E V MDOT Delivery System, Model VMDTEOS 3'-3'

SUBMICRON SYSTEMS / LAMBDA RF SYSTEMS 161961 RF Generator Model SPA400D-1

iQDP40 Edwards A532-40-905 Dry Vacuum Pump with QMB250 Blower Tested As-Is

QDP40 Edwards A528-40-905 Dry Vacuum Pump with QMB250 Copper Cu Tested As-Is

Nikon 4S015-205-C304-BT-31 Processor PCB Card NK-C304-40  Working

Brooks Automation 1-0125 Wafer Handling Robot KLA-Tencor eS20XP  Working

IPUP E100L Mk1.5 Edwards NRA1-30-000 Dry Pump 1229 Hours  Tested Working

IPUP T100L Toyota 0190-30906 Vacuum Dry Pump V3.4 AMAT  Tested Working

IPUP T100L Toyota 0190-30900 Vacuum Dry Pump 42953 Hrs AMAT  Tested Working

IPUP A100LI Alcatel A100L11211 Dry Vacuum Pump 65425 Hours  Tested Working

IPUP A100LI Alcatel A100L11211 Dry Vacuum Pump 60566 Hours  Tested Working

IPUP A100LI Alcatel A100L11211 Dry Vacuum Pump 59543 Hours  Tested Working

IPUP A100LI Alcatel A100L11211 Dry Vacuum Pump 65535 Hours  Tested Working

IPUP A100LI Alcatel A100L11211 Dry Vacuum Pump 63264 Hours  Tested Working

IPUP A100LI Alcatel A100L11211 Dry Vacuum Pump 58120 Hours  Tested Working

IPUP E100 Edwards NRA7-27-000 Dry Vacuum Pump 7335 Hours  Tested Working

Air Products 2Cyl AXO-AP1510SHM-HBr,HCl 46635

New ASM 02-146250-01 Assembly Lower Loadlock Enclosure

Sinfonia Technology SCE92100137 Dual Arm Wafer Transfer Robot  Working

PRI Automation ABM-407-1-S-CE-Z1 Wafer Handling Robot  Working

Asyst Technologies 9700-8107-01 300mm Wafer Load Port 300FLS2,HAMA ROX/E84 

Asyst Technologies 9700-9129-01 300mm Wafer IsoPort Load Port Rev. K 

Aetrium 301580 Assembly Parts 5050S IC Handler  Working

Asyst Technologies 9700-9129-01 300mm Wafer IsoPort Load Port Rev. J 

MRC Material Research Wafer Chuck RF Cylinder S-A00290 Eclipse Star 

MRC Materials Research A122887 Chamber Source Assembly S-A00290  Working

MRC Material Research Wafer Chuck RF Cylinder A121179 Rev. C Eclipse Star 

MRC Material Research Wafer Chuck RF Cylinder A118357 Rev. B Eclipse 

MRC Material Research Wafer Chuck RF Cylinder S-23503-1 Eclipse Star 

MRC Material Research Wafer Chuck RF Cylinder A118356 Rev. B Eclipse 

MRC Materials Research A115015 Remote Rev. C Eclipse Star Sputtering System 

MRC Materials Research A115015 Remote Rev. B 14H1351A Eclipse Star System 

MRC Materials Research A118144 Wafer Chuck RF Cylinder Rev. B Eclipse Star 

MDX Pinnacle AE Advanced Energy 3152412-264 AMAT 0190-25692  Tested Working

Asyst Technologies 970-5158-01 300mm Load Port SMIF-300FL KLA CRS-3000 

MRC Materials Research A118144 Wafer Chuck RF Cylinder Rev. G Eclipse Star 

DCG-200Z ENI DC22S-Z122000010A RF Generator Slave Rev. F 0190-07965 Refurbished

DCG-600Z Optima ENI SPR S03-108 RF Generator Master AMAT 0190-14207 Refurbished

DCG-200Z ENI DC24M-Z141300110A RF Generator Master AMAT 0190-07964 Refurbished

DCG-200Z OPTIMA ENI DCG-100Z-00 Plasma Generator AMAT 0190-10114 Refurbished

DCG-200A ENI DC24M-A041300110AL RF Generator Rev. D AMAT 0190-07962 Refurbished

DCG-200Z ENI DC22M-Z041300111A RF Generator Rev. P AMAT 0190-07961 Refurbished

Sinfonia Technology SCE92100137 Wafer Robot TEL Tokyo Electron T-3044SS 

Shinko BX80-070280-11 Robot SBX92100217 TEL Tokyo Electron T-3044SS  Working

TPH 240 Pfeiffer PM P01 320B Turbomolecular Vacuum Pump  Working

Liebert SCC020C-4 Datawave 1 Magnetic Synthesizer  Working

ADTEC AX-2000EUII-N RF Generator Novellus 27-286651-00  Tested Working

AMAT Applied Materials 1080-90120 Exchange Arm Motor Assembly 0021-06737 

Nikon KNB47138 Laser Prism CCD Camera Assembly K-00101 NSR  Working

Leybold Inficon 903-001-G3 Transpector Gas Analysis System IPC-50 Turbovac 

AMAT Applied Materials Pick and Place Robot ITU Assembly VeraSEM  Working

KLA-Tencor 1102061815000 WBI 300 (COB) Module 11301404123000 CSA Unit 

ADTEC AX-2000III RF Plasma Generator 27-307431-00 Minor Dent  Tested Working

KLA Instruments 655-650167-00 Wafer Defect Cassette Stage Assembly 2132 

MRC Materials Research A118144 Wafer Chuck RF Cylinder Rev. F Eclipse Star 

Asyst Technologies 9700-6148-01 300mm Load Port FA,300FL,S2.1 W/E84  Working

Hitachi Wafer Transfer Robot M-712E Shallow Trench Etcher  Working

Hitachi I-900SRT Wafer Inspection Transfer Robot  Working

Ebara A30WN Multi-Stage Dry Vacuum Pump 23627 Hours MP-BLOWER Fault Tested As-Is

Ebara A30W Multi-Stage Dry Vacuum Pump 7884 Hours MP-MOTOR Fault Tested As-Is

MRC Materials Research A118144 Wafer Chuck RF Cylinder Rev. C Eclipse Star 

Asyst Technologies 9700-5158-03 300mm Load Port 300FL,S2.1 25WFR  Working

Ebara A30W Multi-Stage Dry Vacuum Pump 27941 Hours MP-BLOWER Fault Tested As-Is

Ebara A30W Multi-Stage Dry Vacuum Pump MP-BLOWER Overload Fault Tested As-Is

Asyst Technologies 9700-6149-01 300mm Load Port 300FL,S2.1 HAMA/E-84 

Asyst Technologies 9700-4679-01 300mm Load Port Series 3-EP SMIF-300FL 

NORTON SAINT GOBAIN 3497708R CVD Silicon carbide Coated Heating Igniter

BEACON ENGINEERING SUPPORT ALTBELT01 BELT FLAT WIRE, WJ

ADVANCED ENERGY 3155126-011A RF Match Navigator

New ASM 02-146714-01 Assembly Upper Loadlock Enclosure Rev. A

ASM 02-338116-01 ASSY-MMI-SMIF FEI-MITSUTECH

Ebara A10S-B Multi-Stage Dry Vacuum Pump Blower Fault Tested Not Working As-Is

ASM 91310-68084 HEATING 336X50TWINROLLCAM GATE VALVE

ASM 1050-578-01 Kit - PWR DIST PANEL EMERALD K-MODEL

Air Products 2Cyl AXO-AP1510SM-NH3 807-470626502A

Lam Research 716-460216-001 8" STP Chamber Focus Ring New

Lam Research 715-443130-001 8" ESC Outer Focus Ring AL New

Lam Research 716-011057-002 Upper Alumina Filler Ring New

LPG-6A ENI LPG-6AL-21321 Low Frequency RF Generator LPG-6L  Tested Working

LKT Automation DPCS-NGCAM Die Placement Checking System  Working

Nikon 4L990-797AN Prism Unit NSR Scanner  Working

Nikon 4L991-783AN Depolarizer NSR-S306C New

iL600N Edwards NRB4-47-945 Dry Vacuum Pump Copper Exposed Tested Working

SVG Silicon Valley Group 879-0427-001 Wafer Handling Robot 858-2457-003 

Brooks Automation 146828 Series 8 Robot Controller CHE  Working

TEL Tokyo Electron PR300Z Wafer Spin Motor Assembly BEOL Cleaning System 

Semilab WT-85 Lifetime Scanner 200mm Wafer Mapping Stage Assembly  Working

Fujikin Incorporated WVG-S2-Y-I38 Water Vapor Generator  Working

Fujikin Incorporated WVG-S2-Y-I35 Water Vapor Generator  Working

Yaskawa ERCR-NS00-A210-E Robot Controller NXC100  Working

Brooks Automation 002-0921-11 Wafer Chuck Robot KLA-Tencor eS20XP  Working

Daihen SMA-20B Microwave Waveguide Magnetron Assembly Hitachi M-511E Etcher 

ADTEC AXR-2000III RF Plasma Generator Novellus 27-360919-00  Tested Working

Hitachi M-511E Microwave Plasma Etching System Control Module  Working

XDS 35i Edwards A730-01-983 Scroll Pump XDS35i Locked Motor Not Working As-Is

TEL Tokyo Electron IFBS Wafer Rinse Station Clean Track Lithius  Working

AMAT Applied Materials 0010-76015 Precision 5000 Cleanroom 8" Robot  As-Is

MRC Materials Research D112823 I/O Intec Wrist 150mm 6 Inch A114645 Eclipse 

Lam Research 853-025903-001-2-C117 Lo-FAT Match/Sled RF Tuner  Working

Equipe PRE-300B-L-2-CCD 300mm Wafer Pre-Aligner ESC-218BT  Working

AMAT Applied Materials 0010-08322 Top Local Match 300mm Rev. 009  Working

Nikon 4S008-043-A Interface Board PCB ALG-NAL NSR-S307E DUV 300mm 

Nikon Main Body Back Relay Lens Optic NSR-S205C Exposure System  Working

Nikon 4S008-043-A Interface Board PCB ALG-NAL NSR-S306C  Working

PRI Automation PRE-300BU Wafer Prealigner KLA-Tencor 0011623-000 CRS-3000 

Ultratech Stepper 19887320033 Wide Field Optics Module UltraStep 1000 

Brooks Automation 002-9400-04 Robot Controller KLA-Tencor eS20XP  Working

QDP40 Edwards Dry Vacuum Pump DRYSTAR 10 mTorr  Tested Working

TMU Pfeiffer TMU 071-003 P Turbomolecular Pump Assebmly PM C01 692A  Working

Lam Research 852-011200-003-G-231S Entrance Loadlock Assembly 4420  Working

Nikon Wafer Mask Reticle Indexer NSR-S204B  Working

Nikon Wafer Loader Indexer NSR-S204B Step-and-Repeat Scanning  Working

Brooks Automation 002-7090-08 Wafer Handling Robot AcuTran ATR-7 No Arm 

Lam Research 852-014681-005-F RF Generator Cart OEM-650A  Tested Working

Nikon 4S018-163 Control Board PCB SHRINC-CTRL NSR-S204B Step-and-Repeat 

Nikon 4S014-143 Control Board PCB LC-CTL4 NSR-S204B Step-and-Repeat  Working

Nikon 4S018-403-G Control Board PCB PPD3S NSR-S204B Step-and-Repeat  Working

Nikon 4S014-142-3 Control Board PCB RL-CTRL2 NSR-S204B Step-and-Repeat 

Nikon NSR SPA325Y Linear Motor Controller 4S587-130  Working

Nikon 4S587-180 Linear Motor Controller SPA325B NSR-S204B  Working

Nikon 4S587-130 Linear Motor Controller SPA325Y NSR-S204B Step-and-Repeat 

Nikon 4S587-130-1 Linear Motor Controller SPA325Y NSR-S204B Step-and-Repeat 

Nikon 4S015-164 Processor PCB Card 4S015-166-2 NSR-S307E DUV 300mm  Working

Nikon 4S015-164 Processor Control Board PCB NK-C441-1 NSR-S204B System 

Nikon 4S018-351-A Control Board PCB OPDCTRL2 NSR-S204B Step-and-Repeat 

Nikon 4S018-354-1 Control Board PCB AIRCTRL3 NSR-S204B Step-and-Repeat 

Nikon 4S018-354-3 Control Board PCB AIRCTRL3 NSR-S204B Step-and-Repeat 

Hitachi CR-712T-AC Wafer Transfer Clean Robot Sanki Technos  Working

Hitachi CR-712VC Wafer Transfer Clean Robot Sanki Technos  Working

AE Advanced Energy 27-256558-00 RF Match Mercury 10013 F/R A 3150273-004 

NSK M-SSB020FN502 Robot Servo Motor TEL 5080-192472-12 Lithius  Working

Pearl Kogyo CF-500-400K(CE) RF Power Supply Hitachi M-712E  Working

Pearl Kogyo LP-2000-800KBX RF Power Generator Hitachi M-712E  Working

Brooks Automation 6-0000-4663-PC Wafer Robot AMAT 0195-02883 Copper Exposed 

Lam Research 852-011200-583 Exit Loadlock Assembly 853-017637-002  Working

Lam Research 852-011200-583 Exit Loadlock Assembly 853-017637-001-I  Working

Lam Research 853-017637-001 Etcher Exit Loadlock Assembly 853-017637-002 

Lam Research 853-024403-583-C-254 Orbital Gas Panel 4420 Etcher Assembly As-Is

Lam Research 853-024403-100-8 Orbital Gas Panel Assembly 4420 Etcher As-Is

Lam Research 853-24403-100-6 Orbital Gas Panel 4420 Etcher Assembly As-Is

Lam Research 853-024403-500-E1 Orbital Gas Panel 4420 Etcher Assembly As-Is

Lam Research 853-024403-583-C Orbital Gas Panel 4420 Etcher Assembly As-Is

Hitachi FFB Unit M-712E Shallow Trench Etcher System Untested As-Is

Yaskawa XU-CM5500 Robot Controller Nikon 4S064-734  Working

MECS UTX-5000-SYSTEM Wafer Transport Robot System Controller CS-7000 

Brooks Automation 002-9400-04 Series 8 Robot Controller FEI CLM-3D  Working

Brooks Automation 002-7391-08 200mm Wafer Pre-Aligner FEI CLM-3D  Working

Kensington Laboratories CSMT Scanner and Tilt Arm Assembly CSMT-4  Working

Yokogawa DR1200A00 *1C/96S2895 DD Servo Actuator TEL Tokyo Electron ACT12 

TEL Tokyo Electron 2985-423760-W3 IFB Interface Block Cooling ACT12-300 

MECS UTV-2500H-SYSTEM Wafer Transport Robot System Controller CS-7000 

Fujikin WVG-S2-Y-IB4 Water Vapor Generator Controller TEL Tokyo Electron 

MAG 1000 CT Leybold 86004 Turbomolecular Pump Locked Rotor Untested As-Is

Hitachi CR-712V Wafer Transfer Clean Robot No End Effector Mount M-712E 

Nikon Wafer Center Table NSR-S307E DUV 300mm System  Working

Nikon 4K177-955-1 Robot Controller Tazmo RRW-07 V4.2 NSR-S204B  Working

Osaka Vacuum TG1300 Compound Turbomolecular Pump  Untested As-Is

IDI Integrated Designs 203-MC6L1S IDS Dispenser Photoresist  Tested Working

IDI 203-MC6L1S IDS Dispenser Photoresist 5-Port System  Tested Working

IDI Integrated Design 203-MC8L1S IDS Dispenser Photoresist  Tested Working

IDI Integrated Design 201-M6L10-S IDS Dispenser Photoresist  Tested Working

AMAT Applied Materials 0200-03259 Slotted Preheat Ring .15 THK 300mm EP New

ENI OEM-1250 RF Generator 1250W 13.56MHz Refurbished

OEM-25B ENI OEM-25B-01 RF Generator 2500 Watt  Tested Working

Nikon High-Powered Lens Assembly NSR-S307E As-Is

TEL Tokyo Electron 2985-445336-W1 IFB Interface Block Cooling ACT12 200mm 

TEL Tokyo Electron 851 WEE Wafer Edge Exposure ACT12-200 Missing PCB As-Is

Nikon T-703018 Optics Table Assembly NSR-S307E  Working

Brooks Automation 002-7391-33 Wafer Pre-Aligner Prealigner  Working

AMAT Applied Materials 0010-03486 300mm Magnet Assembly New Surplus

AMAT Applied Materials 0010-11228 300mm Magnet Assembly New Surplus

Yaskawa 410000-8600 Robot Controller ERCJ-CRJ3-B00-CN TEL PR300Z  Working

Sumitomo M28490 ZL Axis Linear Lift Elevator ZLaxisVCM1 NSR-S307E 300mm DUV 

Sumitomo M28491 ZR Axis Linear Lift Elevator ZRaxisVCM1 NSR-S307E 300mm DUV 

Sumitomo M28492 ZB Axis Linear Lift Elevator ZBaxisVCM1 NSR-S307E 300mm DUV 

Fujikin Incorporated WVG-S2-Y-I37 Water Vapor Generator  Working

PRI Automation ABM-507B-2-S-CE-S293 Wafer Transfer Robot  Working

TEL Tokyo Electron 3D80-050031-V3 ECC2 Controller EC RACK T-3044SS  Working

TEL Tokyo Electron 3D80-050031-V2 ECC2 Controller EC RACK T-3044SS  Working

TEL Tokyo Electron 3D80-050031-V1 ECC2 Controller EC RACK T-3044SS  Working

Shinko Electric 3D80-050107-V1 Wafer Prealigner SCE92100050 TEL T-3044SS 

KLA Instruments 710-650099-20 KLA DP PCB Card 073-650098-00 2132  Working

AMAT Applied Materials SBC Control Assembly VM1C VM1VME-7588-787 VeraSEM 

MAG 1000 CT Leybold 86004 Turbomolecular Pump  Untested As-Is

AE Advanced Energy 27-256558-00 RF Match Mercury 10013 F/R B 3150273-004 

AE Advanced Energy 27-256558-00 RF Match Mercury 10013 3150273-004 Copper 

Powervar 74090-53R Power Conditioner ABC90.0-48D40Y Tested Not Working As-Is

Daihen RMN-20E2-V RF Auto Matcher 3D80-000143-V8  Working

Yaskawa ERCR-NS00-A001 Robot Controller NXC100  Working

PDX 2500 AE Advanced Energy R27-293721-00 MF Generator 3156012-201  Tested

PDX 2500 AE Advanced Energy 27-293721-00 MF Generator  Tested Working

PDX 2500 AE Advanced Energy 27-293721-00 MF Generator 3156012-201  Tested

Daihen MFM-15SA2V2 Match Network MRC Eclipse Star  Working

TDK Corporation TAS300 300mm Wafer Load Port Type H1  Working

TDK Corporation TAS300 300mm Wafer Load Port Type E4  Working

SVG 28-543013-01-001 9038S/9037S Bake & Chill Station 28-43015-01 9044S 90S 

Pfeiffer Balzers DUO-016B Rotary Vane Vacuum Pump Assembly As-Is

Yaskawa VS2B Wafer Transfer Robot with Dual End Effector  Working

Yaskawa XU-CM2500 Robot Controller OST7-01-031-3 Nikon OPTISTATION 7 Working

Lam Research 852-011201-001 Exit Loadlock Rev. G 853-012123-001 4420 Etcher 

Lam Research 852-011201-581 Exit Loadlock Assembly 853-012123-001 4420 

Lam Research 852-011201-001 Exit Loadlock Assembly Rev. J 853-012123-001 

Lam Research 852-011200-003 Entrance Loadlock 853-012123-001-E-230S  Working

Lam Research 852-011200-003 Entrance Loadlock Assembly 853-012123-001 4420 

Lam Research 852-011200-500 Entrance Loadlock Assembly 853-012123-500 

Lam Research 852-011200-583 Entrance Loadlock Assembly 853-012123-001 

Lam Research 852-011201-583 Exit Loadlock Assembly 853-012123-001 4420 

Lam Research 852-011201-500 Exit Loadlock Assembly 853-012123-500 4420 

Lam Research 852-011201-001 Exit Loadlock Assembly Rev. E 853-012123-001 

Lam Research 853-024403-100-10 Orbital Gas Panel Assembly 4420 Etcher As-Is

Lam Research 853-024403-583-A Orbital Gas Panel Assembly 4420 Etcher As-Is

Lam Research 853-024403-100-9 Orbital Gas Panel Assembly 4420 Etcher As-Is

Asyst Technologies 0FH3000-001 Prealigner Hitachi I-900SRT  Working

Hitachi CR-712V Wafer Transfer Clean Robot Sanki Technos  Working

Osaka Vacuum TS443 Turbomolecular Helical Grooved Pump  Untested As-Is

Schumacher 1464-0322B ATCS-15 H.T Bubbler 1462-1515B ABU 1461-1522A Refurbished

Nikon Wafer Mask Reticle Indexer NSR-S202A  Working

KLA Instruments 710-650099-20 KLA DP PCB Card 073-650098-00 Rev. L0 2132 

Yaskawa XU-RC350D-D91 Dual End Effector Wafer Handling Robot Untested As-Is

Nikon SPA451B W X Linear Motor Controller 4S588-463  Working

Nikon SPA452A WYL Linear Motor Controller 4S587-469-3  Working

TMH 071 P Pfeiffer Vacuum PM P02 980 C Turbomolecular Pump Refurbished

Hitachi S-9300 Wafer Inspection Transfer Robot Scanning Electron Microscope 

MDX-10K AE Advanced Energy 2194-008-R DC Power Supply SLAVE  Tested Working

MDX-10K AE Advanced Energy 2194-008-X DC Power Supply Slave  Tested Working

Saint-Gobain PFD3 322AI Controlled Flow Pump AstiPure New

Brooks Automation 154622 Robot Controller Series 8 Novellus  Working

AMAT Applied Materials 0240-30808 Pedestal Kit 200mm New Surplus

Dynatronix PMC105/2-2-4/15-30 Pulse Power Supply 990-0298-151 New Surplus

Nikon 4K171-841-2 Power Controller RR-002V1.3 NSR-S202A  Working

Nikon 4K171-841-3 Controller NSR-S202A  Working

Alcatel H2856 32 Vacuum Pump Type MDP 5011 Ceramic

ASM 54-115407U19 GENMARK CONTROLLER - FULL RACK

EMITECH K650 Coater

HEATEFLEX CORP LHN-1-03-C-A00-P567 HEATER CHILLER COMBINATION 3KW ICD

BROOKS T5X-S2 Techware 5 Express Controller

ASM 16-321825E01 WELDMENT-PROCESS CHAMBER-200MM-ATM

iQDP40 Edwards IQ1100204XS Dry Vacuum Pump No Panels  Tested Working

iQDP40 Edwards A532-40-905 Dry Vacuum Pump D37207000 Hours  Tested Working

Metron A127669 POD Door Short Weldment Rev. C TEL Tokyo Electron New

iQDP80 Edwards A532-80-905 Vacuum Pump 2485 Hours Copper  Tested Working

iQDP40 Edwards A532-40-905 Dry Vacuum Pump 61878 Hour  Tested Working

ASM 93000-07445 WAFER ALIGNER

MRC Materials Research A122887 Wafer Chuck RF Cylinder Assembly Eclipse 

ACG-10B ENI ACG-10B-02 RF Generator 1000W MRC Eclipse Star  Tested Working

ASM 50-123824A12 VALVE-RECT INSERT MONOVAT 46X236MM

New ASM 83-125000A56 End Effector ATM RB (SUP by 16-180503D01)

Nikon 4S018-830 Drive Control Card PCB EPDRV2-X2A2 NSR-S204B System  Working