欢迎访问ic37.com |
会员登录 免费注册
发布采购

27-032-578-00 7521220051

日期:2018-5-16类别:会员资讯 阅读:288 (来源:互联网)
公司:
漳州鼎晟达自动化设备有限公司
联系人:
林工
手机:
18105962658
电话:
18105962658
传真:
0596-3119658
QQ:
2880842791 2880842790
地址:
漳州市漳浦县绥安镇金浦路中段东侧金浦花园1幢302
摘要:RFPP RF POWER PRODUCTS LF-30 RF GENERATOR MODEL 27-032-578-00 7521220051 RFPP RF POWER PRODUCTS LF-

RFPP RF POWER PRODUCTS LF-30 RF GENERATOR MODEL 27-032-578-00 7521220051

RFPP RF POWER PRODUCTS LF-30 RF GENERATOR MODEL 27-032-578-00 7521220051

RFPP RF POWER PRODUCTS LF-30 RF GENERATOR MODEL 27-032-578-00 7521220051

         咨询热线:18105962658(林工)
诚信经营,质量为首,诚信至上,鼎晟达竭诚为您服务               
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~`  
漳州鼎晟达自动化设备有限公司       
 联系人:林经理(销售经理)                                         
手机      :18105962658(微信同步)
QQ        :2880842791                        
邮箱      :2880842791@qq.com
传真      :0596-3119658(请备注林工收)                                         
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
*所有备件的质保期均为1年,经过专业测试认证。
*如果您需要订购产品超过1件,请与我们联系,我们可以为您提供折扣。
*我们仅采用快递方式递送备件。(顺丰)
*如果您发现其他供货商为相同产品提供更为低廉的价格,我们也愿意参照其价格向您提供进一步的折扣。如果还有其他任何问题,请随时与我们联系。
*请让我们知道您有任何,备品,备件,的需要,我们可以给您进一步的援助,我们等候您的询盘。
【主营产品】
1:Invensys Foxboro(福克斯波罗):I/A Series系统,FBM(现场输入/输出模块)顺序控制、梯形逻辑控制、事故追忆处理、数模转换、输入/输出信号处理、数据通信及处理等。

2:Invensys Triconex: 冗余容错控制系统、基于三重模件冗余(TMR)结构的最现代化的容错控制器。

3:Westinghouse(西屋): OVATION系统、WDPF系统、WEStation系统备件。

4:Rockwell Allen-Bradley: Reliance瑞恩、SLC500/1747/1746、MicroLogix/1761/1763/1762/1766/1764、CompactLogix/1769/1768、Logix5000/1756/1789/1794/1760/1788、PLC-5/1771/1785等。

5:Schneider Modicon(施耐德莫迪康):Quantum 140系列处理器、控制卡、电源模块等。

6:ABB:工业机器人备件DSQC系列、Bailey INFI 90等。

7:Siemens(西门子):Siemens MOORE, Siemens Simatic C1,Siemens数控系统等。

8:Motorola(摩托罗拉):MVME 162、MVME 167、MVME1772、MVME177等系列。

9:XYCOM:I/O 、VME板和处理器等。

10:GE FANUC(GE发那科):模块、卡件、驱动器等各类备件。

11:Yaskawa(安川):伺服控制器、伺服马达、伺服驱动器。

12:Bosch Rexroth(博世力士乐):Indramat,I/O模块,PLC控制器,驱动模块等。

13:Woodward(伍德沃德):SPC阀位控制器、PEAK150数字控制器。

14:工业机器人系统备件。

New ASM 1034-770-01 Enclosure Gas Panel Rear

APPLIED MATERIALS 0010-00135 60V Power Supply ASSY

INTEGRAL APPLIED TECHNOLOGY IN 10680 CYLINDER LID

Nikon S2015-064-4 MSTBOARD Board PCB OPTISTATION 3  Working

ASM / STEC 54-123117A18 / FC-4500MO MFC MASS FLOW CONTROLLER X-H2-10 SLM-AI

GE FANUC IC693CMM321-JJ CMM321 Ethernet Interface

Fusion Semiconductor Systems 092381 UV Probe Meter M150 with Probe  Working

New ASM 1025-587-01 Assembly Cable Interconnect P3000/DE Power Cab to PM

ESI ES-2002 Nebulizer 100uL

MKS INSTRUMENTS 651CD2S2B 600 Series Pressure Controller

Edwards 633-5583-66 Shutter Disk AMAT 0021-17725 Centura Refurbished

ASM / STEC 54-123117A53 / FC-4400MO MFC MASS FLOW CONTROLLER UC-SIH2CL2-500SCCM

ASM / STEC 54-123117A44 / FC-4400MO MFC MASS FLOW CONTROLLER UC-1%GEH4/H2-500

ASM 02-147684-02 PCB ASSY-FOXBORO-FRONT-W/EPROM/NOVRAM

KENSINGTON WFH4C-TT/SR/HS ROBOT

EBARA 804W-A Thermo-Molecular Pump Controller

ASM 16-191494D01 Valve Rupture 5 Bar 1/4in VCR

ASM 49-12530A09 FLOW METER-DIGITAL 90SLM NITROGEN

Nikon 4S018-167 Interferometer Relay Card PCB ALGLIUIF2 NSR-S204B System 

ASM 04-337123-01 KIT-ST 8400 TAG READER-SMIF-ONE PAIR

Nikon 4S008-187 Interface Control Board PCB AF-PSDX4B NSR-S205C  Working

Nikon 4S008-099 Power Amplifier Card MIS-POWAMP3 NSR-S204B System  Working

TEL Tokyo Electron A116007 200mm Back Plane Heater MRC Materials Research New

Brooks Automation 6-0002-0705-SP Wet Robot AMAT 0190-08245  Working

JAE KT000227 6-Axis Vibration Measurment Unit 4S586-613 Nikon NSR-S204B 

Nikon 4S018-793-? Input Output Processor Board PCB PRE2 I/O-4  Working

Nikon 4S019-198 Input Output Processor Board PCB PRE2 I/O-3B  Working

Nikon 4S018-869 Processor Board PRE2 I/O-1A PCB  Working

Nikon 4S018-792-? Processor Board PRE2 I/O-2 PCB 4S018-792-D  Working

SVG ASML 879-8074-003-B Cap Gauge Assembly  Working

ASML 854-8301-007 Stepper Module PCB A1211-AFA  Working

BROOKS INSTRUMENT 0550EB32H1DA MFC Mass Flow Controller 300SCCM H2

BROOKS INSTRUMENT 0550EB25H1EA MFC Mass Flow Controller 200SCCM H2

Nikon 2S005-228-3 Relay Control Board PCB MCR-CNTRL2 Optistation 7  Working

Nikon 4S020-207-1 Processor Control Board PCB AF-CNT Optistation 7  Working

Nikon 4S019-460 Processor Card PCB BSA-MOT CAB03NK036  Working

AMAT Applied Materials Pneumatic Door Assembly Desica Cleaner  Working

AMAT Applied Materials Precision 5000 Mark II P5000 Bezel and Front Panels 

Brooks 6-0002-0705-SP Wafer Robot WTM511-2-FWS02-V1 AMAT 0190-08245  Working

Pilz 773100 Configurable Safety System PNOZ m1p mo4p mi1p mo1p mc3p  Working

Brooks Automation WTM-511-2-FWS02-V1 Wafer Handling Robot AMAT 0190-08245 

Brooks Automation 6-0002-0706-SP Wafer Robot WTM AMAT 0190-08246 Copper 

Brooks 6-0002-0706-SP Robot WTM511-2-FWS02-V1-CU AMAT 0190-08246 Copper 

Hitachi Kokusai F12K1550VH Left and Right Tube Furnace  Working

AMAT Applied Materials 0010-00742 End Point Keyboard P5000 New Surplus

Brooks Automation 10600-10 Prealigner 200mm Chamber  Working

Hitachi 2-832793-01 ER Cover UHF New

APPLIED MATERIALS 0021-35989 LID PLATE, TxZ VIEW LID

New ASM 54-106931A65 / MKS 649A-25466 MFC Mass Flow Controller 500SCCM H2

ENI OEM-6B-01M5 RF Generator

TREBOR Magnum 610 Pump

West Coast Quartz 1040-10-153-A Lower Isolator, B-Liner 200MM TICL 4 Tin

New ASM 1058-637-01 Watlow Heater-Source 1 E730 220V 318W

VERTEQ 1076585 RD Controller

ASM 1134-624-01 LID-HTM PROCESS CHAMBER-PREVIUM V3

ASM 16-146941-01 REFL-S-PARABOLIC LWR LAMP 90

AMAT Applied Materials 0090-91251 ITL Gap Servo Controller Assembly  Working

ASM 35-106642A19 THYRISTOR QUAD SCR 40A 480V CECERT

ENI OEM-12 Solid State Power RF Generator

ASM / Horiba Stec 845005154 / SEC-4550M MFC Mass Flow Controller 30SLM HCI

ASM 96-125485A05 ASSY-WAFER ,MAPPER-300 FRNT LD-S3

Nikon 4S064-050 VCM Amplifier 3-Axis Motor SPA1A NSR-S204B  Working

Swagelok Process out stick- 809-470313915A

APPLIED MATERIALS 0090-09299 POLYMIDE ESC MXT+ ESC SCRATCHED REVA

ASM / STEC 54-125252A33 / Z13DWMO MFC Mass Flow Controller H2-200 SLM-NO-AI

APPLIED MATERIALS 0010-09750R RF Match, CVD

ASM 1038-497-01 KIT-CABLE PM EXHAUST

ASM 845005138 / HORIBA STEC SEC-4400M MFC Mass Flow Controller HCL 500SCCM

ASM 51-123117A53 / HORIBA STEC SEC-4400M MFC Mass Flow Controller SiH2Ci2 500SCC

New ASM 1058-635-01 Watlow Heater-Source 1 E530 220V 176W

New ASM 04-193446-01 Kit Tools P3000 MK1

ASM 04-196549-01 KIT-VESSEL ADAPT-SHIPLEY-10049536

Nikon 4S015-227 Processor Control Board PCB NK8601A NSR  Working

OEM-6 ENI OEM-6AM-1B-21251 RF Generator Rev. K  Tested Working

Nikon 4S008-086 Relay Control Board PCB AF-MPXX4A NSR-S205C  Working

Nikon 4S008-089 Interface Control Board PCB AF-ADCX4A NSR-S205C  Working

Nikon 4S014-182 Relay Control Board PCB AF-I/FX4A NSR-S205C  Working

Nikon 4S007-692-1 Relay Control Board PCB WL3PRE2 NSR-S204B Scanning System 

Nikon 4S015-227 Relay Control Card PCB NK8601A NSR System  Working

Nikon 4S007-692-1 Relay Control Board PCB WL3PRE2 NSR System  Working

Verteq Process Control Panel Sunburst Megasonic Cleaner SRD 200CR  Working

Kensington Q2SL XY Wafer Stage MT2115-014DF Bio-Rad Quaestor Q7  Working

FEI Company 150-002600 Pneumatic Interface Board PCB Card 150-002610 FEI 

FEI Company 150-002280 CLM-PROC MOD-NETWORK Loadlock Control PCB CLM-3D 

Lam Research 810-17016-001 Stepper Motor Driver PCB Rev. C 4420 Lot of 6 

Lam Research 852-011061-506 Reaction Chamber Assembly 4420 Rainbow As-Is

Lam Research 852-011061-503-C-CHMAIN Reaction Chamber Assembly Untested As-Is

SV65BIFC Leybold 960465V3002 SOGEVAC Vacuum Pump Oil in Intake Tested As-Is

SV65BIFC Leybold 960465V3002 SOGEVAC Vacuum Pump Will Not Pump Tested As-Is

Iwaki HPT-106-2 Photoresist Tubephragm Pump PHDC11H11B Filter TEL Lithius 

Brooks Automation BM22462L01 Horizontal Transfer Assembly F33  Working

Daikin DFS410TC1B Fan Filter Unit MMH4601000 TEL Tokyo Electron Lithius FFU 

Lam Research 853-001983R005 Reaction Chamber Assembly 4420 As-Is

Nikon 4S001-093 Power Supply Board PCB PW-NK NSR  Working

Nikon 4S001-092 Power Supply Board PCB PW-NK NSR  Working

Nikon 4S001-061 Power Supply Board PCB PW-NB NSR-S205C  Working

Nikon 4S019-147-A Processor Control Board PCB REX-MTR NSR  Working

Nikon 4S001-122-1 Power Supply Card PCB MSE378 NSR  Working

Nikon 2S700-323-2 Relay Card PCB S-AF(H) 2S020-020-5  Working

Nikon 4S001-060 Power Supply Card PCB PW-NA NSR-S205C Step-and-Repeat 

Nikon 4S018-870-B Interface Board PCB ALGMTH-X42 NSR  Working

Lam Research 852-011061-001-H Reaction/Lower Chamber Assembly 4420 Etcher As-Is

Lam Research 852-011061-503-C-CHMAIN Lower Main Chamber 4420 Etcher  As-Is

Kollmorgen MAG02-25021-001 2-Channel Magnet Driver AMAT 0190-16633  Working

ASM 26-123950A01 / Cutler-Hammer FD3200L CIRCUIT BREAKER-480V 200A 3P

Lam Research 852-011061-103 Reaction & Lower Chamber Lower Match Box 4420 As-Is

Leybold ARS 16-25 Exhaust Filter with Lubricant Return D16BCS D25BCS New Surplus

Agilent 10898A Dual Laser Axis VME PCB Card 10898-60002 Damaged Connector As-Is

Balzers BG 445 013-S Cooling Lid Motor Assembly BG 545 649-T  Untested As-Is

ATMI BRC-22A Integrated Refill Controller

AMAT Applied Materials 0020-24719 200mm Cover Ring AL New

Tosoh 805-435-SG-F-258 Lower Shield AMAT Endura New

Tosoh 805-381-SA-F-301 Inner Shield AMAT Endura New

TEL Tokyo Electron 42755-0500 Shield Kit MRC Eclipse Star New

New ASM 02-184430-01 OBS Assembly - Fixt - Gate Valve Liquid Cooled

DIRECTED LIGHT INC CAV-10965 Cavity, Assy, QTRNX116, Reflector Gold Assy Housing

BRANSON/IPC 12390-01D PM-732 Automatch

BRANSON/IPC 12390-01 PM-732 Automatch

BRANSON/IPC 07694-B PM-702A Automatch

BRANSON/IPC 12390-01E PM-732 Automatch

ZEISS 44 26 55 Epiplan-Apochromat Objective 50x/0.90 HD DIC 8/0

ASM 91310-68096 NW40 ROLLCAM GATE VALVE

ASM / ABB 1046-353-01 / SACE T4H 250 CIRCUIT BREAKER-3P 250A 600V RING LUG

ASM 1060-390-01 KIT-CABLE CL2 GAS DET SPLIT EXHAUST

Lam Research 810-17048-001 Heater Control PCB Booard 810-01704R001 New

DRC Dynamics Research C40012 Optical Encoder PCB Lam 810-550092-001 New

AceCo S33-1872-14 Susceptor Assembly Refurbished

Pfeifer TCP600 Turbomolecular Pump Control Unit

New ASM 16-404784-01 Shield Wafer Edge 150mm Low Volume

New ASM 63-119074A17 Smart Transmitter H2 0-1000 PPM

MRC D122742 HOLDER WAFER HBUMP 6"

ASM 1127-023-01 LID-HTM PROCESS CHAMBER-PREVIUM V2

ENTEGRIS 5400-XX-V06-B30-A-S2-S08 NT Electronic Flowmeter

New ASM 1005-712-01 CTRLR Continuous Liquid Level Sensor

FOCAL TECHNOLOGIES GROUP 101244-05 Model 255 Mini Electrical Slip Ring

New ASM 16-143355-01 Weldment Spotlight 300mm - 2000w

ASM 54-123117A18 / HORIBA STEC SEC-4500 MFC Mass Flow Controller H2 10SLM

Lam Research 713-011203-001 90 Degree Loadlock Cover Rev. E Rainbow 4420 

AMAT Applied Materials 9010-02032ITL Mykrolis CO2 MFC Gas Panel Assembly 

Nikon 4S008-090 Regulator Board PCB LIUREG2 Nikon NSR-S204B System  Working

Nikon 4S013-223 Interface Board PCB LIUPWBPB Nikon NSR-S204B System  Working

TEL Tokyo Electron PR300Z Left Wafer Mapping Assembly M-DW1 System Copper 

TEL Tokyo Electron PR300Z 300mm Wafer Rack Assembly BEOL Copper Exposed 

Kensington Touchscreen Operator Interface 21-0E01-0044 CSMT-4 Tool  Working

Lam Research 716-460953-001 Focus Ring 8" Jeida INR COV CMPST New

Lam Research 716-011651-006 6" Wafer Clamp Edge Ring New

Pfeiffer PK T05 100 Dry Vacuum Pump MVP 015-2  Tested Working

Lam Research 810-057032-001 DC Servo Driver PCB Card 810-57032-001  Working

Lam Research 713-028318-001 Ceramic Ring 713-28318-1  Working

Lufran 090-R0-480-721-U Dual Tank DI Water System Untested As-Is

AMAT Applied Materials IL100A Fuse Box Power Unit  Working

Lam Research 853-012123-001-E-230S Harmonic Arm & Paddle Drive 4420 Rainbow 

AMAT Applied Materials 01-81913-00 System DC Power Supply 8100D  Working

AVTF-110889 400W 240V Hot Trap ISO100 Flange New Surplus

Watlow 200mm RF Window Film Heater Thermal Chamber Substrate TF-101-9-1 new

TEL Tokyo Electron 200mm Indexer Assembly P-8 Wafer Prober  Working

Hitachi EVAC CONT UNIT Power Distribution Module S-9300 CD SEM  Working

QM Soft VEO-08EF Servo Drive MITY Servo VEO-08  Working

MS Techno VEO-04EF Servo Drive MITY Servo VEO-04  Working

SMC XLD-100D-X862 Pneumatic High Vacuum Angle Valve ISO100  Working

Shinko 0TS12B-M2-1 Robot Servo Controller CNT  Working

Schumacher 1442-0218D Absolute Amplifier ACU TLCHFCK Kokusai DD-1203V 

MRC Materials Research A112990 Throttle Valve Assembly Rev. F Eclipse Star 

AMAT Applied Materials EEP-A-024 Interface Loadlock Cover Precision 5000 

Iwaki HPT-106-2 Photoresist Tubephragm Pump TEL Tokyo Electron Lithius 

AMAT Applied Materials 0100-09267 Chamber Distribution UHP PCB P5000 

Hitachi 571-7090 OPSEQ01 Interface Board PCB I-900SRT  Working

Hitachi 571-7204 STSEQ11 Interface Board PCB I-900SRT  Working

Hitachi 571-7393 SRSEQ01 Interface Board I-900SRT  Working

Hitachi 571-7096 STSEQ01 Interface Board PCB I-900SRT  Working

Lam Research 853-012350R002 Outer Gate Assembly Rev. H 4420 Etcher  Working

Pentagon Technologies D124821-200A Cu Pie Pan Shield MRC Eclipse Star New

Nikon 4S008-135 Relay Data Card PCB AF-PSDSUB-X3 NSR-S205C  Working

TEL Tokyo Electron HTE-0V5-A-11 HP #01 HTE-0C3-C-13 PCB Board Lithius 

Kimmon Quartz H-FRIM15-KDRN Torch Controller Assembly  Working

Cymer 06-0502-04E Interface Control Board PCB OSMX8 Cymer ELS-6400  Working

Nikon 4S008-135 Interface Board PCB AF-PSDSUB-X3 NSR-S204B Step-and-Repeat 

TEL Tokyo Electron OYDK-101 IO CHEM #02 PCB Board OYDK-062 Lithius  Working

Nikon 4S008-004 Interface Board PCB STGX22A NSR-S204B System  Working

Nikon 4S008-089 Relay Control Board PCB AF-ADCX4A  Working

Nikon 4S008-086 Relay Control Board PCB AF-MPXX4A  Working

Nikon 4S018-888-1 Relay Control Base Board PCB NIIP-BASE-S  Working

Nikon 4S018-885 Relay Control Card PCB CPCI-IF NSR-S204B  Working

Cognex VPM-3434-1 In-Circuit Test PCB Card 200-0057-1 Electroglas 4085x 

MRC Materials Research A112990 Throttle Valve Assembly Rev. H Eclipse Star 

Key High Vacuum GV-6-AP-MRC Gate Valve MRC Eclipse Star  Working

CTI-Cryogenics 8120948G001 On-Board Waterpump MRC Eclipse Star  Working

CTI-Cryogenics 8116136G001 On-Board Waterpump MRC Eclipse Star  Working

PRI Automation TRA035-LPS Track Controller KLA-Tencor 0000666-000 CRS-3000 

TEL Tokyo Electron Operator Display P-8 Fully Automatic Wafer Prober System 

Turbo-V 550 Varian 9699544S016 Turbomolecular Pump Controller  Working

MRC Materials Research A112990 Throttle Valve Assembly Rev. M Eclipse Star 

MRC Materials Research A112990 Throttle Valve Assembly Rev. M Disassembled As-Is

Key High Vacuum GV-6AP-4010780 Gate Valve MRC Eclipse Star  Working

Edwards D37291400 Electrics Module DP iTIM iH AC  Working

RadiSys SYS-ZDT-100 System Control Computer Novellus 02-828034-00  Working

Premium 0588.2 AC/DC Power Supply ASML 4022.471.84295  Working

Premium NP-0588 AC/DC Power Supply Card ASML 4022.471.84293  Working

TMH 065 Pfeiffer Vacuum PM P02 350 Turbomolecular Pump  Tested Working

TMH 065 Pfeiffer Vacuum PM P02 350 Turbomolecular Pump  Tested Working

Lam Research 853-012726-001-G-C222 Wafer Transport Assembly 4420 Etcher 

Hitachi ZVV022 Processor PCB Card I-900 GRYCMP2 I-900SRT  Working

Nikon Z-X3-B4102RU Stepper Controller E0R05-9437A NSR-S204B  Working

TMP Shimadzu TMP-280-L Turbomolecular Pump includes Fomblin New Surplus

Motech CD52/A Voltage Clamp Unit AMAT 0090-91598 XR80 Implanter  Working

AMAT Applied Materials 0100-00611 Smoke & Water Leak Detector Board PCB 

Nikon 4S013-212-3 Interface Board PCB WL3SLDR NSR-S204B Step-and-Repeat 

Ultratech Stepper 0516-537100 Focus Monitor Assembly 250-1 UltraStep 1000 

Ultratech Stepper 0526-568900 Focus Monitor Assembly 250-1 UltraStep 1000 

TEL Tokyo Electron AP9Z-1521C IO Spin #02 PCB Board TKB7001 Lithius  Working

Lam Research 02-376092-00 PED Assembly Machined Finish C3VCTR  Working

Bio-Rad Y7602200 Accent Optical Technology Handler Module Quaestor Q7 Working

KV Automation 4022.486.21561 Vacuum Gas Module ASML  Working

Nikon NSR 4S018-403 PPD3S Board PCB Card  Working

JEOL MP003460-00 MPU PB Processor Board PCB Card EM-2010F  Working

Nikon 4S018-403-E Control Board PCB PPD3S NSR-S204B Step-and-Repeat  Working

Micrion 150-002520 CLM Optics Controller PCB Card FEI 4035 285 13391 CLM-3D 

Gatan Model 688 DigiScan JEM-2010F TEM Transmission Electron Microscopy 

Shimadzu 263-14025-20V1 TMP Turbomolecular AC Cable TEL 3D86-004932-V1 20M New

Shimadzu 263-14025-20V1 TMP Turbomolecular AC Cable TEL 3D86-004930-V1 20M New

Nikon 4S018-351 Controller Board OPDCTRL2 PCB Card NSR-S202A  Working

ASML 4022.471.6363 Fiber Optic Processor VME PCB Card 4022.471.63651 

Nikon 4S001-065 Power Supply Board PCB MSE182C NSR-S204B Step-and-Repeat 

VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve Copper Untested As-Is

MKS Instruments 153D-20-40-2 Smart Exhaust Valve Type 153  Working

Hitachi Kokusai Denki Engineering U01200PMQA-DS1CE Ultrasonic Generator 

Nikon OPTISTATION 3A 200mm Wafer Inspection Transport Assembly  Working

NSK ESB-SSB020A23F2-02 Robot Servo Drive TEL 5080-192754-11 Lithius  Working

Lam Research 853-012123-500-E1-230S Harmonic Arm Drive 4420  Working

Lam Research 853-012123-500-E1-230S Harmonic Arm Drive 715-130080-008 4420 

Lam Research 853-012123-001-G-230S Harmonic Arm Drive 715-130092-008 4420 

Lam Research 853-012200-R002-J-VAC Inner Gate Valve Drive 4420  Working

Lam Research 853-012726-101-E-3117 Wafer Transport Assembly 4420 Etcher 

Lam Research 853-012726-101-C-3117 Wafer Transport Assembly 4420 Etcher 

SVG ASML 854-8305-006-A Chassis  Working

SUNX LA-310P LA-310D Beam Sensor and LA-A1 Controller  Working

ASML 4022.470.2197 Pneumatic Manifold Nikon Reticle Loader  Working

KLA-Tencor Archer XT+ Power Distribution Assembly 0017522-000  Working

TEL Tokyo Electron HTE-0V3-E-15 HP #01 HTE-0C3-C-13 PCB Board Lithius 

Matrox 7116-01 Odyssey XPRO Vision Processor PCB Card for Parts or Repair As-Is

Premium 0588.2 AC/DC Power Supply ASML 4022.471.84293  Working

VAT 0210X-CA24-BIR1 Rectangular Dual Slit Valve  Working

VAT 0210X-CA24-BCU1 Rectangular Dual Slit Valve  Working

VAT 0210X-CA24-BCU1 Rectangular Dual Slit Valve 0210X-CA24-BIR1 

Nikon 4SO14-142-3 PCB Card RL-CTRL2  Working

CKD N4S0-T50 Solenoid Valve Manifold N3S010 Lot of 28  Working

Nikon 4S008-106 Audio Video Processor Board PCB AV1-I/FX4  Working

Schlumberger 97911002 Clock Timer Board 40911002-1  Working

MRC Materials Research A116985 High Voltage Assembly A118144 Lot of 2 As-Is

CKD FGL11-X0029 Leak Break Valve Reseller Lot of 8  Working

AMAT Applied Materials 0240-62572 SIP TTN 300mm Adapter Kit New

CKD SMC Valve Sensor Assembly CHV-8 VX3344K Lot of 9  Working

Mitsubishi QJ61BT11N PLC Assembly Q312B  Working

Nikon 4S008-107 Audio Video Processor Board PCB AV2-I/FX4  Working

AMAT Applied Materials 0242-21251 Robot Harness Kit 3 Cables Endura 300CL New

Varian 9699831S001 Vent Valve Control E37000105 E1000 Implanter  Working

Nikon Z-X3-A4205RU NSR Stepper Controller  Working

AMAT Applied Materials 0020-52627 Clamp Ring Centura Refurbished

AMAT Applied Materials 0020-13067 300mm Lower Shield Centura Refurbished

Jenoptik 4022.456.0076.2 BXP Lens ASML BXP CAF2 Lens B Cymer/1100 New Surplus

TMP Shimadzu EI-3203MD-A1 Turbomolecular Pump Power Unit EI-3203MD  Working

Koganei 4K199-395 Pneumatic Box Assembly Nikon NSR-S307E  Working

Nikon 2S700-581 Processor Board SCNT PCB Card 2S014-034-1 OPTISTATION 3 

ASML 4022.471.5745 HSSL Fiber Optic Processor VME PCB Card  Working

ASML 4022.472.4428 Programmable Logic Device VME PCB Card  Working

ASML 4022.471.63637 Fiber Optic Processor VME PCB Card 4022.471.63651 

Nikon 2S700-555 Video Sync Board OST MST PCB 2S015-064-4 V5.16A  Working

Nikon 4S003-057 Relay Board PCB STG-I/F1 KAB11005/501-0 Optistation 7 

Nikon NSR 4S018-402 Interface Board PCB MTH-8SH  Working

Nikon 4S007-878-2 Interface Board PCB AF-I/FX22 NSR-S204B Step-and-Repeat 

Nikon 4S018-723 Relay Board PCB PWMDRV1 NSR SEK-K  Working

Nikon 4S001-065 Power Supply Card PCB MSE182C NSR  Working

Nikon 4S003-057 Interface Board PCB STG-I/F1 KAB11005/501-0 Optistation 7 

AMAT Applied Materials 1000043168 5500 Endura DI MAX Lift Station 300mm CU 

TMP Shimadzu EI-203MD Turbomolecular Pump Controller Untested Damaged As-Is

Lam Research 716-011036-001 Ring Filler Lower Rev. F New

Nikon NSR 4S014-082-? PCB Card AM6PDCTL  Working

TEL Tokyo Electron LPC-T0007A-11 Load Port Assembly ACT12 Cassette Block 

Applimotion 0040175-000 Motor Lens Assembly KLA-Tencor 0030368-000 

Hitachi High Technology 2-A06112-^A Microwave Calibration Jig New

Hitachi High Technologies 2-A06112-^B Microwave Calibration Jig New

Lam Research 853-012550-002 E-ELM Edge Sensor Assembly Rainbow 4420 Etcher 

DNS Dainippon Screen Ion Pump Power Supply FC-3000  Working

Digital Electronics UF7811-2-DV2S-24V Operator Panel TEL PR300Z  Working

Nikon 4S008-181 Audio Video Processor Board PCB AV-I/FX4B  Working

Varian 15000400 ASM Ion Implant Servo AMPL PCB Board Lot of 4 for Repair As-Is

Millipore RGEN-01 Photo Resist Pump Base RDS TEL CT2911-000003R14 Refurbished

TEL Tokyo Electron Operation Manual Set Clean Track Lithius 

AMHS Left Horizontal Transfer Assembly PRI Automation  Working

AMHS Right Horizontal Transfer Assembly PRI Automation  Working

TEL Tokyo Electron OYDK-018B CONN P-CHEM #01 PCB Lithius  Working

TEL Tokyo Electron OYDK-057 CONN ANALOG #02 OYDK-058 PCB Board  Working

TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB Board OYDK-062 Lithius  Working

AMAT Applied Materials 0100-90790I Wafer Arm Position Sensor  Working

Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. K Not Working As-Is

TEL Tokyo Electron A112990 Throttle Valve Assembly Rev. H New

Hitachi Kokusai Denki UO1200PMQA-DS1CE Ultrasonic Generator Mikro Sonic 

Asyst 6900-1551-01 System Controller Epsilon 3000  Working

Lintec VU-104 Vaporizer AMAT Applied Materials 3870-01548 New

AMAT Applied Materials 0040-41887 Slit Valve External Insert Piece Lot of 3 New

Yaskawa Nikon NSR Motor Controller 4S061-666-1 CLSR-A504-N2SB  Working

TEL Tokyo Electron 3281-000013-19 Hard Drive PCB Card TVB0004-1/147CON P-8 

KLA-Tencor 710-734976-001 Rev AD EMO Control Module 2365-UI  Working

DNS Dainippon Screen CEBB-1011 Bake Controller PCB Card AP-1031D AP-1059B 

Panasonic MSD043A1Y03 Driver Unit PCB Card 581B370B 581B342G TEL ACT8 

Lam Research 853-012123-001-G-230 Harmonic Arm Drive Assembly 4420 Etcher 

AMAT Applied Materials 0010-76001 5000 Cleanroom Storage Elevator P5000 

Shinko SCE93-100011-C1 SBC LVDT-3A-1 PCB Card SBX08-000027-12 TEL T-3044SS 

AMAT Applied Materials 9010-01382ITL Celerity SiF4 MFC Gas Panel Assembly 

S&C Electric PEA-2146-7 Power Module 8 Assembly  Working

Lam Research 716-011036-001 Ring Filler Lower Rev. G New

Turbo-V 700 HT Varian 9699545S004 Turbomolecular Pump Controller With Cable New

Therma-Wave 18-010547 Detector Lens Optic Assembly Rev. C Opti-Probe 2600B 

Therma-Wave Opti-Probe 2600B 18-010493 Lens Optics Block Lot of 5  Working

MRC Materials Research A114569 Index Assy. Rev. A Eclipse Star Incomplete As-Is

MicroVision MVT4080 Wafer Aligner  Working

Nikon 2S700-555 Video Sync Board MST PCB Card 2S015-064-4 V5.16A  Working

Nikon 4S008-180 Audio Video Processor Board PCB AV1-I/FX4B  Working

MKS Instruments 728A01TCE2FB Baratron Pressure Transduce AMAT 1350-00573 New

Ultrapointe 000134 Page Scanner Fast Z 1003T PCB Assembly KLA CRS-3000 

Pearl Kogyo ZDK-916 Tuner Controller RP-150-2MB  Working

Stange Elektronik SE-600-BB Industrial PLC Controller SE-600 BB  Working

MDX-052 AE Advanced Energy 3152052-000 Magnetron Remote Interface  Tested

TCP 350 Pfeiffer PM C01 740 Turbomolecular Pump Controller New

Yokogawa DL3110B 12bit 25MS/s Digital Oscilloscope 7003-10  Working

Lam Research 853-012726-001 Wafer Transport Assembly 853-012550-001 4420 

Lam Research 853-012726-101-E Wafer Transport 853-012550-001-G 4420 Etcher 

Hitachi Laser Optics Mirror Reflector Module I-900SRT Set of 3  Working

VAT 07512-UA24-ADA1 Atmospheric Door ASM Epsilon  Working

Nederman 10561032 NEX MD Fume Extraction Arm 2 Meter Reach New Surplus

Keithley Instruments 9102-EIM Ethernet Interface PCB Card  Working

Hirata HPC-907B CPU Controller Assembly HPC-914 HQPLP-2DHP  Working

Turbo-V450 Varian 969-9542 Turbomolecular Vacuum Pump Controller  Working

Hitachi Ion Pump Power Supply with Backup Battery S-9300 CD SEM  Working

Therma-Wave 18-010547 Detector Lens Optics Assembly Rev. A Opti-Probe 2600B 

PRI Automation TRA035-LPS Track Controller KLA-Tencor 0014445-000  Working

TEL Tokyo Electron 2981-600618-11 I/O DISP #02 PCB 2908-600618-11  Working

ASML 4022.470.0445 SSD Module  Working

KLA-Tencor 720-23189-000 10KV Floodgun Assembly Untested As-Is

Varian 951-5227 Pneumatic Stainless Steel Swing Gate Valve CF600  Working

Sumitomo Electric 52131001C Drive Board DRIVE-Z12 PCB Card Nikon NSR 

Sumitomo Electric 52131001C Drive Board DRIVE-Z3 PCB Card Nikon NSR  Working

Hitachi ZLJ070 Processor PCB Card I-900 CHPMEM2 I-900SRT  Working

Hitachi ZVV031 Processor PCB Card I-900 EXBF3 I-900SRT  Working

Hitachi 571-7201 Processor PCB Board OPSEQ11 I-900SRT  Working

Hitachi ZVV035 Processor PCB Card I-900 CLOCK3_2 I-900SRT  Working

Hitachi ZVV034 Processor PCB Card I-900 VEDIT2 I-900SRT  Working

Hitachi ZVV036 Processor PCB Card I-900 CLOCK1_2 I-900SRT  Working

Hitachi ZVV032 Processor PCB Card I-900 VINP2 I-900SRT  Working

Hitachi ZVV023 Processor PCB Card I-900 CELCMP2 I-900SRT  Working

Hitachi ZVV016-1 Processor Board PCB Card EXBF2 I-900SRT  Working

Electroglas Pneumatics Module Assembly Complete 200mm 4085X Horizon  Working

Electroglas 253822-001 Edge Sensor Inker 244325-001 200mm 4085X Horizon 

Electroglas Wafer End Effector Lift 247012-001 200mm 4085X Horizon  Working

Nikon Elevator NSR-S307E  Working

TEL Tokyo Electron 281-500102-3 Slave CPU Board PCB Card 208-500102-3 

AMAT Applied Materials 0100-35148 Two Chamber Gas Backplane Board Rev. P1 

Semitool Filter Pump Industries 12C-12 Filter Chamber New

Nikon 4S018-473-2 PCB RL-LIBHT1 NSR-S202A  Working

Electroglas Horizon 4085X Visual Inspection Module  Working

Electroglas RMHM4 Controller Horizon 4085X  Working

White Knight AP200 Rebuild Kit RBAP200-1

LAM 796-091092-102 Valve gate, VAT Housing

VARIAN E11292270 ANALOG/DIGITAL I/O INTERFACE

APPLIED MATERIALS 0190-40071 INTERFACE CARD

Lam Research 715-495014-001 Liner Transition Manifold Adapter

Lam Research 715-495014-001 Liner Transition Manifold Adaptor

APPLIED MATERIALS 0240-25440 8" SHIELD CLAMP KIT 13" SRC FIXED TICO

Lam Research 715-495014-001 Chamber Liner Trans Lam 9600 DFM Kit

ACECO/TEL DS33-960 / 6P-009283-024 SHOWER HEAD 8 16-3/4" O.D.

Tokyo Electron 1D10-202204-12 Upper Electrode Unity-II DRM 200mm ACECO S33-960

ENI LPG-1ATM3 RF GENERATOR

Lam Research 715-495014-001 Liner Transition Manifold

ULTRAPOINTE 1010 Power Supply for KLA Laser Imaging System

APPLIED MATERIALS 0020-31147 Base Insert

Mykrolis QCCZATM01K Filter Quickchange ATM Chemlock 0.05μm Prewet

New ASM 54-106931A64 / MKS 649A12T52C2DR MFC Mass Flow Controller 500SCCM N2

Mykrolis QCCYATE01K Quickchange ATE Chemlock Cartridge 0.03μm Filter

Hitachi 710-S00242A Computer Controller

KOKUSAI Vertron DJ-825V Load Robot

KOKUSAI Vertron DJ-825V Cassette Loader (Transport)

KARL SUSS PH150 Micropositioner / Micromanipulator Probe Head

PARKER N0703FE-NMSB Brushless Servo Motor N0703FE-NMSB & RT90-015-021LB Gearhead

ASM 54-125252A45 HORIBA SEC-Z532KVX MFC FC-STEC-Z532KVX-H2-100 SLM-NC-AI 150.4MM

ASM 02-338053D02 ASSY-AUTODOOR MECHANISM RH

VLSI STANDARDS INCORPORATED SRS-2-T / SRS-2-G Sheet Resistance Standard Set

LAM RESEARCH 853-012500-002-E-3117 Edge Detector ASSY

New ASM 1019-565-01 Heater Gasline Zone2 SCE1 IGS Ezwrap Kit

ASM 02-187762D01 ASSY-DYNAMIC PRESSURE REGULATOR-LH

ASM 04-332828-03 KIT-BLADES-STEPPED-INTMD INJ PLT-STM

ASM 16-190115U01 Plate RC Base

ASM 16-183598D01 STOP-TEACH

GE FANUC IC693CMM321-HJ CMM321 Ethernet Interface

ENGIPLAST INCORPORATED A194-60MB-PF-TT TURNTABLE PVDF POLYFLOW

Cyber Optics ROX-43 HAMA Wafer Mapping Sensor New

CARL ZEISS 45 74 92 AF Controller

APPLIED MATERIALS Single Ring (DPS)

APPLIED MATERIALS Ring Capture (DPS)