欢迎访问ic37.com |
会员登录 免费注册
发布采购

ACT12-300

日期:2018-5-3类别:会员资讯 阅读:882 (来源:互联网)
公司:
漳州鼎晟达自动化设备有限公司
联系人:
林工
手机:
18105962658
电话:
18105962658
传真:
0596-3119658
QQ:
2880842791 2880842790
地址:
漳州市漳浦县绥安镇金浦路中段东侧金浦花园1幢302
摘要:TEL Tokyo Electron Cassette Block CSB 300mm Clean Track ACT12-300 Untested As-Is TEL Tokyo Electron

TEL Tokyo Electron Cassette Block CSB 300mm Clean Track ACT12-300 Untested As-Is

TEL Tokyo Electron Cassette Block CSB 300mm Clean Track ACT12-300 Untested As-Is

TEL Tokyo Electron Cassette Block CSB 300mm Clean Track ACT12-300 Untested As-Is

         咨询热线:18105962658(林工)
诚信经营,质量为首,诚信至上,鼎晟达竭诚为您服务               
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~`  
漳州鼎晟达自动化设备有限公司       
 联系人:林经理(销售经理)                                         
手机      :18105962658(微信同步)
QQ        :2880842791                        
邮箱      :2880842791@qq.com
传真      :0596-3119658(请备注林工收)                                         
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
*所有备件的质保期均为1年,经过专业测试认证。
*如果您需要订购产品超过1件,请与我们联系,我们可以为您提供折扣。
*我们仅采用快递方式递送备件。(顺丰)
*如果您发现其他供货商为相同产品提供更为低廉的价格,我们也愿意参照其价格向您提供进一步的折扣。如果还有其他任何问题,请随时与我们联系。
*请让我们知道您有任何,备品,备件,的需要,我们可以给您进一步的援助,我们等候您的询盘。
【主营产品】
1:Invensys Foxboro(福克斯波罗):I/A Series系统,FBM(现场输入/输出模块)顺序控制、梯形逻辑控制、事故追忆处理、数模转换、输入/输出信号处理、数据通信及处理等。

2:Invensys Triconex: 冗余容错控制系统、基于三重模件冗余(TMR)结构的最现代化的容错控制器。

3:Westinghouse(西屋): OVATION系统、WDPF系统、WEStation系统备件。

4:Rockwell Allen-Bradley: Reliance瑞恩、SLC500/1747/1746、MicroLogix/1761/1763/1762/1766/1764、CompactLogix/1769/1768、Logix5000/1756/1789/1794/1760/1788、PLC-5/1771/1785等。

5:Schneider Modicon(施耐德莫迪康):Quantum 140系列处理器、控制卡、电源模块等。

6:ABB:工业机器人备件DSQC系列、Bailey INFI 90等。

7:Siemens(西门子):Siemens MOORE, Siemens Simatic C1,Siemens数控系统等。

8:Motorola(摩托罗拉):MVME 162、MVME 167、MVME1772、MVME177等系列。

9:XYCOM:I/O 、VME板和处理器等。

10:GE FANUC(GE发那科):模块、卡件、驱动器等各类备件。

11:Yaskawa(安川):伺服控制器、伺服马达、伺服驱动器。

12:Bosch Rexroth(博世力士乐):Indramat,I/O模块,PLC控制器,驱动模块等。

13:Woodward(伍德沃德):SPC阀位控制器、PEAK150数字控制器。

14:工业机器人系统备件。

RadiSys EPC-5 VIX CPU Module PCB Card EXP-BP4  Working

Nikon Photoelectric Sensor Module Omron Z4LC-S28 NSR S306C  Working

Nikon 2B001-581-3 Linear Module KAB11000K-0047 OPTISTATION 7  Working

Nikon KAB11000M-0063 Wafer Handler KAB11000/360-3 OPTISTATION 7  Working

OEM-10B ENI OEM-10B-8 RF Generator 1250W Rev. A  Working

Edwards PT35-Y0-B18 STP Turbomolecular Pump Cable 16 Meter P035Y-B231 

HP Hewlett-Packard D2572B System Control PC with Monitor Kensington CSMT-4 

KLA-Tencor 720-07360-00 Rotary Transfer Arm Assembly 733-ME3617  Working

TEL Tokyo Electron Photoresist Drawer AMD01-8BUR04-TC Lithius F-DAVP070-3W 

MRC Materials Research A119124 RMX Magnet Assembly TARGE GUN  Working

MRC Materials Research A119124 RMX Magnet Assembly TARGE GUN A119182 RB 

VAT 0210X-CA24-BJC1 Monovat Rectangular Dual Slit AMAT 3870-04810 Refurbished

Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. K  Working

Yaskawa XU-ACL4141 AIT Linear Track Kit SGLFM-1Z945AC Brooks HU0480744 New

SVG Silicon Valley Group 879-8210-001D A3101 Signal Conditioner PCB Board 

Digital Dynamics 02-134495 SIOC Anneal PCB Controller Novellus 01-133875-00 

AMAT Applied Materials 0021-53986 Lower Shield New

MRC Materials Research A121214 High Voltage Source Rev. D Eclipse Star 

Lam Research 852-014681-005 RF Generator Cart 4420 Etcher  Untested As-Is

Balzers MIU 101 Microwave Ignition Unit Copper Exposed  Working

Frontier FSM 8800 Intelligent Film Stress Measurement System Untested As-Is

Bio-Rad Y7604000 Wafer Micromeasurements Sensor Module Quaestor Q7 

AMAT Applied Materials 0040-52495 300mm Bottom Chamber Adapter New

Yaskawa ULVI-30-NQ11 Linear Lift Elevator 4K196-818-1 Nikon NSR-S307E DUV 

Nikon WD Wafer Stage Robot NSR-S306C Step-and-Repeat Scanning Untested As-Is

TEL Tokyo Electron CPC-T0033A-12 IO CSB Board HA-012 Assembly Lithius 

Hitachi HJ-7500-60EED Career Station Block Computer HF-W75F VGA TEL Lithius 

Hitachi HJ-7500-60EED Career Station Block Computer HF-W75F DVI TEL Lithius 

TEL Tokyo Electron 5087-402251-17 CPHP General Chamber CPHG Lithius  As-Is

Nikon KAB11000/1360-0 200mm Wafer Chuck Assembly OPTISTATION 7 with Track 

TEL Tokyo Electron A112915 Latch Actuator Plate Assembly 150mm New Surplus

Novellus 03-10641-01 Right Indexer Rev. M Concept II  Working

Novellus 03-10641-00 Left Indexer Rev. L Concept II  Working

Hitachi VME Micro Computer Assembly 7200 Etcher  Working

SMC INR-244-233B Interface Plate Tokyo Electron ACT12  Working

MRC Materials Research 026146 RMX Magnet Assembly TARGE GUN Eclipse Star 

Advantest BPS-034449X02 Liquid Cooled Processor PCB Card BPK-034772  Working

AMAT Applied Materials 0010-09263 Precision 5000 Pneumatic Panel P5000 

IDI Integrated Design 4-100-001 IDS Dispenser Photoresist Pump 301-M6L1-S 

AMAT Applied Materials 4347 A 5000 CVD Chamber 200mm Precision 5000 P5000 

AMAT Applied Materials 4347 B 5000 CVD Chamber 200mm Precision 5000 P5000 

AMAT Applied Materials 5834R A 5000/5200 CVD Chamber Precision 5000 P5000 

TEL Tokyo Electron E244-000053-11 CPU VME PCB Card E2B023/ECU T-3044SS 

KLA Instruments 710-604769-002 KLA Cornerturn 3 PCB Card 073-655650-00 

KLA Instruments 710-603180-003 Digital Deflection Generator PCB Card 

KLA-Tencor 710-612545-004 Image Data Storage System PCB Card  Working

KLA Instruments 710-652840-20 KLA RIF PCB Card 073-652839-00 2132  Working

KLA Instruments 710-655651-20 KLA Cornerturn 3 PCB Card 073-655650-00 2132 

KLA Instruments 710-659411-001 PCB Card 700-659411-001 2132  Working

KLA Instruments 710-661726-00 S.A.T. Random Defect Filter PCB Card 2132 

Air Products 809-470467812A Gasguard VMB Process Header Assembly PCR/HDR New

TEL Tokyo Electron 851 WEE Wafer Stage Assembly Clean Track ACT12  Working

Dressler VM 1000 A RF Match Network With Ignitor  Working

TEL Tokyo Electron 2987-455716-W1 Z Axis Wafer Transfer Station  Working

Brooks Automation 6-0000-4663-PC WTM-511-2-FWS02-V1 0195-02883 Refurbished

Brooks Automation 106968 Smart Aligner Edge Grip 24VDC 2A  Working

Novellus 03-10641-00 Loadlock Robot Rev. K Concept II Altus  Working

Novellus 03-10641-01 Loadlock Robot Rev. L Concept II Altus  Working

Yaskawa DDMQF-SR2232IR System Robot Controller RC/ELC1 SRC-II 005  Working

MicroVision MVTMC70 Controller  Working

Nikon KAB11005-0149 200mm Wafer Inspection Stage Assembly OPTISTATION 7 

Hitachi Wafer Stage Assembly I-900SRT Defect Inspection Tool  Working

Millipore ENC0MPH01 Encompass HV Fluid Dispense Pump Photoresist SVG 90S 

Nikon 4S007-609 Transport Assembly 4S003-028 NSR-S204B Step and Scan System 

Pearl Kogyo RP-150-2MB RF Power Generator RP-150  Working

OEM-12A ENI OEM-12A-21041-51 RF Power Generator Rev. G  Tested Working

OEM-12A ENI OEM-12A-21041-51 RF Power Generator Rev. C  Tested Working

Axcelis 572881 Module Control Computer 300mm Fussion ES3 CES3590  Working

Hitachi Wafer Alignment Assembly with LD-C60 M-712E Shallow Trench Etcher 

Kondoh Kohsya DM-007 Chemical Concentration Monitor LM-101 New

Novellus 02-252432-00 C3 Vector Spindle Assembly Rev. G  Working

Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. G Copper Exposed 

Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. E 

Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. D Copper Exposed 

Novellus 02-252432 C3 Vector Spindle Assembly Rev. G  Working

Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. F Copper Exposed 

Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. G  Working

Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. F  Working

Yaskawa XU-RCM2500T-3 Robot Track OST7-01-031-3 Nikon OPTISTATION 7  Working

Progressive Technologies Inc. Sentry S1510 Controller EMB51-15-5  Working

MRC Materials Research A119124 RMX Magnet Assembly A119182 RD Eclipse Star 

Hitachi 200mm Wafer Prealigner M-712E Shallow Trench Etcher  Working

Hitachi I-900SRT Dual End Effector Wafer Transfer Robot  Working

Hitachi Wafer Prealigner S-9300 CD Scanning Electron Microscope System 

ETO ABX-A434 RF Generator Controller ABX-X355 PCB Board AMAT 0190-36677 

Schumacher 1463-0322G/G/F/C ATCS-15 HT Level Base Bubbler 1462-1515G ABU 

WS 1000 Leybold 11641 Vacuum Booster Pump Blower RUVAC  Untested As-Is

Matrox VIP-1024A Frame Grabber PCB Card KLA-Tencor 750-400169-00 5107 

MRC Materials Research RMX Magnet Assembly AICu.5% TARGE GUN Eclipse 

MRC Materials Research A126387 RMX Magnet Assembly TARGE GUN Eclipse Star 

Varian 104318001 Gate Valve Assembly 250mm Ion Implant Systems VAT  Working

MRC Materials Research Plenum Wafer Chuck Head S-A00290 Eclipse Star 

VAT 14048-PE44-AAU1 High Vacuum Gate Valve MeiVac 2460  Working

Novellus 01-133875-00 SIOC Anneal Controller Digital Dynamics 02-134495-00 

Digital Dynamics SIOC Controller 27-053659-00 Rev. B  Working

KLA-Tencor 003928-000 SP1 Interface Assembly  Working

EAD Motors LA23GCKA-2P KLA-Tencor SP1 Linear Actuator Assembly 740-772820-000

Lam Research 852-014681-583 RF Generator Cart 851-014680-583 Untested As-Is

Novellus 02-266279-00 Rev. C RF Matching Unit New

CPMX-2500 Comdel FP2303R1 Automatic Matching Network Matchpro New

CPMX-2500 Comdel FP2330R1 Automatic Matching Network Matchpro New

KLA Instruments 710-659274-20 Rev. E0 KLA PLA-8 Assembly 2132  Working

TEL Tokyo Electron 2987-455715-W1 CRA Robotics Arm ACT12 200mm  Working

KLA Instruments 710-652840-20 KLA RIF Board PCB Card 2132  Working

Edwards B65301000 Gate Valve Manual Operation GVI 100M ISO100 ISO-F  Working

Nihon Koshuha AMC-39D-4502A-00 450MHz RF Automatching Tuner  Working

VAT 65048-JH52-ALJ1 Throttling Pendulum Vacuum Gate Valve  Working

VAT 1235154 Throttling Pendulum Vacuum Gate Valve TEL 3D86-000237-V4 

VAT 65048-JH52-ALJ1 Throttling Pendulum Vacuum Gate Valve 1235154  Working

Electroglas Optical Wafer Stage Assembly 4085X Horizon 200mm  Working

Kensington 15-3600-0300-01 300mm Wafer Prealigner Assembly AMAT 0190-16360 

Macom M7047-2 Processor Board PCB Card  Working

TERADYNE 829-421-03 ROUND CIRCUIT BOARDS

M8-KMC060804-01 Upper Chamber Body

SECO / GRAMATECH SSGF GVS2100 SSGF

New ASM 02-187891-01 Assembly H2 Chassis Modified E3000

ASM / Prescision Inc 16-404633-01 FLANGE-MESC INTFC-300 MM (XP4-EMERALD)

ASM / FHT Assy-Interlock SOLV 1089-836-01

IMTEC ACCULINE 10-000-0129 Accubath A1502-18

TREBOR Maxim 50 Pump

SOLID STATE COOLING SYSTEMS 10-12143-12-2 Cleanstream

GOLD TECH INDUSTRIES 16-320563D01 Reflector, D, Outside, Upper

CTI-CRYOGENICS 8107813G001 Cryo-Torr 8F Cryo Pump

DYNATRONIX 990-0229-410 Pro Series Power Supply, Model PMC-104/1-5DC

ASM 1080-573-01 TUNNEL-XP4 PULSAR REDUCED

LAM RESEARCH / GD CALIFORNIA, INC 650-017034-110 / 714708 VME Board

ASM 1004-550-01 KIT-PM 200MM OPR RP COVALENT

Agilent 10898A Dual Laser Axis VMEbus PCB Card 10898-60002  Working

Lam Research 715-051364-002 T.G. Coupler Adapter New

Hine Design 380-877702-001 Ergo Loader Assembly 380877702  Working

Lam Research 716-038657-418 ESC 8" Si3N4 Focus Ring Cover New

Lam Research 716-029504-008 8.00 Wafer Clamp Edge Ring New

Lam Research 716-11750-151 Quartz Ring Assembly 71611750151 New

Lam Research 716-038657-533 8" S13 N4 ESC Focus Ring New

Lam Research 716-01175-043 4" Quartz Universal Ring Insert 716-11750-43 New

Lam Research 715-021050-001 Ring 715-21050-001 Rev. 1 New

Lam Research 716-330004-008 HI-COND 8" Focus Ring ESC New

Lam Research 713-071681-002 IN-SITU TMC Wafer Spacer 713-71681-2 New

Lam Research 715-011559-262 Wafer Clamp Plate New

Lam Research 716-011754-003 Ceramic Lower Baffle Plate 716117543 New Surplus

Lam Research 716-331100-001 6" Ceramic ESC FL BOT Edge Ring New

Lam Research 716-011963-003 Plate W/C Attachment INTR.BLW New Surplus

Lam Research 715-030138-001 8" Wafer Clamp Focus Ring New

Lam Research 716-380017-001 Wafer Top Clamp DMD SHDW MINOR New

Lam Research 716-140038-001 Ceramic Filler Ring New

Lam Research 716-347266-001 Chamber Baffle Liner New

Lam Research 715-011531-011 Aluminum Anodized Electrode New

CTI-Cryogenics 8031348G001 Cryogenic 8500 Compressor Tested Not Working As-Is

Hirata HQPLP-2DHP Stage Assembly Quarter Panel Load Port Transfer  Working

GPI REAH-THI-400-MS Temperature Humidity Controller GCR-THI-4 SVG 90 

GPI REAH-THI-400-MS-A-50 Temperature Humidity Controller GCR-THI-4 224-248 

AMAT Applied Materials Wafer Stage Assembly Orbot Instruments WF 736 DUO 

Brooks Automation 02-169208-00 ATM Wafer Handling Robot Untested As-Is

Agilent Z4381-60001 Phase Detector Board Z4381A PCB Card Nikon NSR-S307E 

Nikon Z-X4P/12-A0702C Robot Interface Unit NSR-S306C  Working

SCU Seiko Seiki SCU-H2001K2 Turbomolecular Pump Control Unit  Tested Working

HiTek Power Series 2500 High Voltage Power Supply 2.5kV Refurbished

Nikon 4S015-214 Processor Board PCB NK-C446-OPT NSR-S307E DUV 300mm  Working

Lam Research 716-330122-002 Ceramic F/G Top Plate New

Levitronix LC48 Bearingless Pump System Controller 70959-31/32CR New Surplus

Agilent Z4381-68001-23-0433-00003 Phase Detector PCB Z4381A VME NSR-S307E 

Agilent Z4381-68001-23-0421-00015 Phase Detector PCB Z4381A VME NSR-S205C 

IKO Nippon Thompson TSL220-600/A10E583 Robot Track Hitachi S-9300  Working

CTI-Cryogenics 8052000 Cryogenic 8300 Compressor w/Adsorber  Tested Working

Edwards 12E3 Vacuum Coating Unit Chamber Speedivac F903  Untested As-Is

Applied Materials 0242-88366 Kit - Slit Liner Door, Clamp Lid, Viton/Kalrez

Mykrolis QCDZATXTH Filter Quickchange T-Pillar DISPO Filter 0.05μm Prewet

Mykrolis IHAV01P01 Intercept HPX 0.1 (DHF 0.03) .1μm Filter

Entegris CTFC01TPEK Cartridge Filter 10μm

Mykrolis CTPR01P01K Fluorogard CL PRS 10" 40μm Filter

Entegris TRCXATE01K Torrento ATE 10nm Chemlock Liquid Filter

BECKMAN COULTER DU 720 UV Spectrometer

HEATEFLEX LH1-10-C-A01-P504 Inline Heater

BMT 930 Ozone Monitor 6-Channel Analyzer

WATKINS JOHNSON 914275-1 Unloader Return Shuttle WJ999 / WJ1000

ASM 02-331508-04 ASSY-H2 DET-RIKEN-FS

Newport Kensington 35-3700-1425-16 300mm Wafer Robot SMP AMAT 0190-22250 As-Is

Yaskawa Electric XU-ACP4870 300mm Wafer Prealigner AMAT 0190-14752  As-Is

MicroVision MVT 2080 Workstation Wafer Inspection Station Table Tschurr 

GVSP30 Edwards A710-04-907 Dry Scroll Vacuum Pump Copper GVSP 30 Tested As-Is

Lam 852-011061-203-5-231 Lower Reaction Chamber 853-011661-503-B-231 4420 

AMAT Applied Materials 200mm Wafer Stage Assembly Anorad RMW Orbot WF 720 

Edwards B750-30-330 STP Turbomolecular Pump Cable 12 Meter P035Y-B231 

Nyquist NYCe3000 MCU5 Motion Controller FEI 9464 999 16081 CLM-3D  Working

Nyquist NY3522/10 Motion Controller FEI 9419 035 22201 CLM-3D  Working

ADTEC AX-2000EUII-N RF Generator Novellus 27-286651-00 Tested Not Working As-Is

Evans ALTAIR-1SL-00RZX-ASM DNC Dynamic Neutralization Chamber UL-508A As-Is

AMAT Applied Materials 0010-15413 Universal Lid Lift Assembly New Surplus

Nikon 4S015-265 Processor Board PCB AGPCi7500 NSR-S307E DUV 300mm  Working

Nikon 4S013-497 Processor Board PCB DSP-INF NSR-S307E DUV 300mm  Working

Nikon 4S018-935 Processor Board PCB EPDRVX4-MOPT NSR-S307E DUV 300mm 

Nikon 4S019-136 Processor Board PCB RMDRVX4B NSR-S307E DUV 300mm  Working

Nikon 4S019-289 Processor Control Board PCB AFDRVX4B NSR-S307E DUV 300mm 

Nikon 4S015-192 Processor Board PCB NK-C44-60S NSR-S307E DUV 300mm  Working

Nikon 4S019-154 Processor Control Board BLDRVX4B NSR-S307E DUV 300mm 

Asyst Technologies 9700-5158-03 300mm Load Port SMIF-300FL Incomplete As-Is

Asyst 9700-5158-03 300mm Load Port 300FL, S2.1 25WFR Incomplete for Parts As-Is

ADTEC AXR-2000III RF Plasma Generator Novellus 27-360919-00 Tested As-Is

ADTEC AX-2000EUII-N RF Generator 27-286651-00  Tested RF Trip Fault As-Is

ADTEC AX-2000III RF Plasma Generator Novellus 27-307431-00  Tested As-Is

ADTEC AX-2000EUII-N RF Generator 27-286651-00  Tested RF Sensor Error As-Is

ADTEC AX-2000EUII-N RF Generator 27-286651-00 Untested Damaged Breaker As-Is

ADTEC AX-2000EUII-N RF Generator 27-286651-00 Tested RF Output Damaged Fan As-Is

AMAT Applied Materials 0010-22567 CPI-VCM Chamber Source 3 Missing Parts As-Is

Dynatronix 990-0299-09 Pulse Power Supply PMC102/1PR-1-3/1PR-20-60 

ASM 02-140183-01 ASSY-OPTN INTFC PNL DLL RH

ASM 50-125108A01 Valve Throttle Control Heatable 150c NW50 MKS T3BIA-27247

USHIO HB-251B/A-AF/HB-25103BY Lamp Housing & Controller

New ASM 16-179191-99 Quartz Effector End P8300 DTS

Nikon 4S013-416-RBTMLNK2 Robot Stage 4S013-417-RBTLNK2 NSR Series  Working

Fortrend 114-1002 200mm Wafer Transfer System F-8225  Tested Working

Fortrend F8225BBE 200mm Automatic Wafer Transfer System  Tested Working

Fortrend 114-001006-001 200mm Wafer Transfer System E-8225  Tested Working

Fortrend F-8225S 200mm Automatic Wafer Transfer System  Tested Working

Kensington 4000D Servo Positioning Controller ARM WAIST Axis CSMT-4  Working

Kensington 4000D Servo Positioning Controller Tilt Scan Axis CSMT-4  Working

QM Soft VEO-110EF Servo Drive MITY Servo VEO-110  Working

Lam Research 852-017500-001 CRT Operator Interface Panel Assembly 4420 

Lam Research 852-017500-003-6-C220 Operator Interface Panel Assembly 4420 

VersaLogic 05-05186-00 Processor Board PCB Card VL-7709bY Cymer ELS-6400 

Nikon 4S018-384-1 Driver Control Card PCB AFDRVX21 NSR-S204B System  Working

Nikon 4S018-544 Driver Control Card PCB RSSDRVX3A NSR-S204B System  Working

Nikon 4S018-380 Processor Control Card PCB STIFMEMX2 NSR-S204B System 

Nikon 4S019-086 Driver Control Card PCB ADDRV1X3 NSR-S204B System  Working

Nikon 4S008-096-1 Video Control Board PCB NIIP-VIDEO-M  Working

Lam Research 852-017500-002-5-C220 CRT Display Monitor Operator Panel 4420 

Hitachi CR-712T Series Wafer Transfer Clean Robot Sanki Missing Sensors As-Is

Radisys 061-00719-0027 Processor Module 100MHz VMEbus EPC-5A EXM-13B 

Lam Research 852-017500-003-B-C220 CRT Keypanel Chassis 4420 Etcher  Working

Lam Research 852-017500-003-4 CRT Display Monitor Operator Panel 4420 

Microbar Trackmate Chemical Delivery Cabinet 4 Tanks WCDP025L1  Working

Kensington Laboratories 4000D Servo Positioning Controller A B Tilt/Scan 

Specken Drumag ZLS-FV 280/57-D-PH-T-1099980 Stroke Cylinder Oerlikon P1264 New

Nikon 4S015-173-C Processor Board PCB NK-C304-40 NSR-S307E DUV 300mm 

Nikon 4S015-173-C Processor Board PCB NK-C304-40 NSR-S307E DUV 300mm 

Nikon 4S019-156 Proccessor Board PCB AVDRVX4B NSR-S307E DUV 300mm  Working

Nikon 4S015-261 Processor Control Board PCB NK-C44-60R NSR-S307E DUV 300mm 

Nikon 4S085-431-1 Carrier Module Card PCB 4S025-171 4S019-414-1  Working

Nikon 4S025-563 Interface Control Card PCB AFX8IF NSR-S620D Immersion 

Nikon 4S025-282-1A Interface Control Card PCB X8_WLIO NSR-S620D  Working

KNF Neuberger 1156-N813.0-3.00 Pump CMP/ICP400 Lot of 11 for Repair Tested As-Is

Fortrend 120-1004 Wafer Load Station F-8025  Tested Working

Fortrend 120-1004 Wafer Load Station F-8025 Copper Exposed Tested Working

Fortrend 120-1004 Wafer Load Station F8025S  Tested Working

NT 1000/1500 VH Leybold 112087001 Turbopump Controller Varian E1000  Working

Varian Semiconductor Equipment 101927004 Chamber Interface E1000  Working

KLA-Tencor 0107339-002 Gas Purge Box  Working

Lam Research 852-017500-001-D CRT Operator Interface 853-017505-001-B 

Lam Research 852-017500-003-4 CRT Operator Interface 853-017505-001-C 

KLA-Tencor 073-404207-00 Wafer Transport Assembly Rev. BA 5107 Overlay 

Danfoss 178B7654 Frequency Converter VLT 5000 VTL5016PT5C20STR3DLF13A00C0 New

Danfoss 175Z4293 Frequency Converter VLT 5000 VTL5016PT5C20STR3D0F10A00 New

Newport Kensington 25-4021-0015-15 Servo Positioning Controller 4000D 

Kensington 25-4000-0003-00 Servo Positioning Controller 4000D  Working

Kensington 25-4021-0015-04 Servo Positioning Unit 4000D AMAT 0190-23563 

RF Services Inc. 232570-01 RF Match RFS 5005 5kW  Working

Ebara 305W Pump Controller

Applied Materials 0200-39137 DPS Poly CERAMIC DOME

APPLIED MATERIALS 0200-39137 Poly Dome (DPS)

APPLIED MATERIALS 0200-39137 Ceramic Dome (Y203) Coating (DPS)

LAMBDA ELECTRONICS VA-26519 Power Supply

CTI-Cryogenics 8052000 Cryogenic 8300 Compressor  Tested Working

New ASM 02-180574D01 Assembly RLL Top Cover

New ASM 02-180573D01 Assembly LLL Top Cover

Lam Research 715-051364-002 T.G. Coupler Adapter New

Lam Research 810-057067-003 Interlock Flow PCB Card Assembly 810-57067 New

Nikon NSR 4S001-060 Power Supply Board PCB Card PW-NA  Working

Nikon KAB11310/201K Dual Board INX/300 Assembly Metro 300mm  Working

Nikon 4S001-060 Power Supply Board PCB Card DDP-024-A NSR-S307E DUV  Working

Nikon 4S001-061 Power Supply Board PCB DDP-025-A NSR-S307E DUV  Working

Nikon 4S018-716 Relay Control Card PCB OPDCTRL3 NSR-S204B Step-and-Repeat 

Nikon 4S015-501-1 Single Board Computer PCB STG51 NSR-S620D  Working

Nikon 4S018-727 Interface Card PCB IM-COM NSR-S205C Step-and-Repeat  Working

Nikon 4S015-215 Interface Control Card PCB LC ADPT NSR-S307E DUV  Working

MARTEQ PROCESS SOLUTIONS INC 1084136.1000000001 LID ASSY for 8201 DRYER

ASM 1008-220-01 ASSY-GENERIC RIKEN MODULE CHASSIS

NIKON SR315-ALI2AN Cone Lens Unit

SVG Silicon Valley Group 99-45762-15 LES E-Chain 90S  Working

Nikon 4S001-090 Power Supply PCB Board TDK MSE182P NSR-S204B  Working

KLA-Tencor 740-615774-003 Ramp Deflection PCB Assembly eS20XP E-Beam 

KLA-Tencor 740-614614-000 Deflection Power 240 VAC Drawer #1 eS20XP  Working

TMC Stacis 2000 Active Piezoelectric Vibrations Control System  Working

Millipore W2501PH01 Photo Resist Pump  Working

Nikon 4S015-084 NSR System Control PCB BUSMON3  Working

Nihon Koshuha MBA-010-H-2 1kW RF Matching Box  Working

Therma-Wave Opti-Probe 2600B Optics Platform OP200B KLA-Tencor As-Is

Robitech 859-8067-002 Pneumatic Pressure Control Rack  Working

AMAT Applied Materials 0010-08323 Local RF Match Rev. 007  Working

AMAT Applied Materials 0010-08322 Top Local RF Match Rev.002  Working

TEL Tokyo Electron P-8 PCB Card Cage Controller MVME 147-023  Working

AMAT Applied Materials 0240-06458 Polisher Kit Reflexion CMP New

Hitachi 1-822328 Lower Coil ZPS90 New Surplus

Nikon XU-DL1600W30 Driver Board PCB 4S014-165 200A08.LO NSR-S204B System 

Nikon XU-DL1600W30 Driver Board PCB 4S014-165 200A07.LO NSR-S204B System 

Nikon 4S015-094 Processor Control Board PCB NK386SX3 NSR-S204B System 

Nikon 4S008-056-A AIS Sensor AISsns-X4 NSR-S205C Exposure System 

Nikon XU-DL1600W30 Driver Board PCB 4S014-165 NSR-S204B Scanning System 

SMC XLD-100D-X862 Pneumatic High Vacuum Angle Valve ISO100 New Surplus

Nikon 4S015-046H Processor Control Board PCB NK386SX NSR-S204B  Working

Nikon 4S015-046I Processor Control Board PCB NK386SX NSR-S204B  Working

Yaskawa XU-DL1600W30 Driver Board 4S014-165 Nikon NSR-S204B  Working

Newport 25-4000-1301-00 Servo Positioning Controller M4000A  Working

Nikon 4S019-029 Interface Board PCB PRE1_I/F NSR System  Working

TAZMO Main Controller Module 20583 Hours Semix TR6132U 150mm SOG  Working

MDX Pinnacle AE Advanced Energy 3152338-018 Dual Power Supply Untested As-Is

AMAT Applied Materials 0010-09181 Precision 5000 Platform DC Power Supply 

AMAT Applied Materials 0010-09297 Precision 5000 CVD 15 Volt Power Supply 

AMAT Applied Materials 0020-32860 Precision 5000 Photohelic Power Supply 

MRC Materials Research A114569 Stepper Elevator Assembly Eclipse Star 

MRC Materials Research A114570 Stepper Elevator Assembly Eclipse Star 

CTI-Cryogenics 8112898G005 8F Cryopump On-Board MRC Eclipse Star  Working

Cleanpart 500136661 TPU Kronis Head Assembly Gas Abatement  Working

Komatsu 20001900 Circulating Pump MCE-83WS TEL Lithius No Connector  Working

CTI-Cryogenics 8112898G005 8F Cryopump On-Board 8132030G002 MRC Eclipse 

Nova 310-22000-00 Measurement Unit Novascan  Working

Komatsu Electronics 20001900 Circulating Pump MCE-83WS TEL Lithius  Working

Siemens 6ES7 390-1AE80-0AA0 Computer Control System Simatic S7  Working

Nikon Microscope M Plan 2.5 BD Plan 10 BD Plan Apo 20 40 150 OPTISTATION 3 

Nikon 200mm Wafer Transfer System SST55D3C020 V100E1 OPTISTATION 3  Working

Yaskawa VS2B Wafer Transfer Robot TEL Tokyo Electron Unity II  Working

SVG Silicon Valley Group 24-45451-01 Fixture Parts Lot A 24-37963-01 90S 

Nova Measuring Instruments 210-48000-01 Controller NovaScan 420  Working

Nova Measuring Instruments 210-48000-00 NovaScan 420 Controller  Working

Nikuni 25CLX15U4 Centrifugal Pump UG1312T-A1AA  Working

Nikon 4S018-445-B Relay Control Card PCB EPDRV2-X2A NSR-S204B  Working

Nikon 4S018-382 Relay Control Card PCB RSMDRVX2 NSR-S204B  Working

Nikon 4S018-550 Relay Control Card PCB LMDRVX3 NSR-S204B  Working

VAT 65040-PA52-ACB2 Pendulum Control and Isolation Valve Copper Cu Exposed 

VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve 98800  Working

Cymer 06-05204-01B Interface Test Control Board PCB OSMX8 ELS-6400  Working

Cymer 06-05220-06L Digital Relay Control Board PCB OSMX8 ELS-6400  Working

Cymer 06-05020-04H Interface Relay Control Board PCB OSMX8 ELS-6400  Working

Cymer 06-05024-06J Analog Relay Control Board PCB OSMX8 ELS-6400  Working

Nikon 4S018-387 Relay Control Card PCB ADDRV1X2 NSR-S204B System  Working

Nikon 4S018-444-A Drive Control Card PCB EPDRV1-X2A NSR-S204B System 

Nikon KAB11000/360-0 200mm Wafer Chuck KAB11000/A301-7 OPTISTATION 7 

Nikon 4S018-444 Driver Board EPDRV1-X2A PCB Card NSR-S202A Scanner  Working

Nikon Beam Retarder 2 NSR-S204B BMU Beam Matching Unit  Working

Nikon Beam Retarder 1 NSR-S204B BMU Beam Matching Unit  Working

Nikon Halving Glass NSR-S204B BMU Beam Matching Unit  Working

MDC Vacuum Products 306005 Manual Gate Valve LGV-4000G NW100  Working

Therma-Wave 14-007135 AF Interconnect AT Stage Assembly Opti-Probe 2600B 

Hine Design Inner Chamber Transfer Robot Assembly Gasonics Aura A-2000LL 

AMAT Applied Materials 0090-91927 ITL XR80 Wafer Loader Interface Module 

Rudolph Research II-2345 Automatic Ellipsometer AutoEL II Untested As-Is

CTI-Cryogenics 8116250G002 On-Board P300 Cryopump with 0190-27354  Working

Hitachi M-511E User Interface Panel Touch Screen TE6036A7  Working

Nikon 4S018-169 Interface Controller Board ALG-INF PCB Card NSR-S204B 

Nikon RRW-07 V4.2 Power Controller NSR Stepper  Working

Nikon Z-X3-B2405 Stepper Controller E0R05-9437A  Working

Hitachi 1-822327-02 Upper Coil ZPS90 New

Nikon 4S018-057-3 Control Board PCB WL3SEN2 NSR-S204B Step-and-Repeat 

CTI-Cryogenics 0190-27355 On-Board P300 Cryopump with 8113192G001  Working

CTI-Cryogenics 8116250G002R On-Board P300 Cryopump 8113212G001R Copper 

Nikon 4S019-155 Interface Control Board PCB WTDRVX4B NSR-S307E DUV 300mm 

Nikon 4S018-388-A Relay Board PCB ADDRV2X2 NSR-S204B Step-and-Repeat 

Osaka Vacuum TG-M Series Turbomolecular Pump Cable 40' 12M  Tested Working

Futaba DB9409-001 Junction Box 2S067-013 HPS-FB6 Nikon 4S061-844  Working

TEL Tokyo Electron TKB7001 IO Spin #02 TKB7043 PCB Board Lithius  Working

Comdel CPS-1000M RF Power Source 1kW Matrix System 10 Tested no RF Output As-Is

Asyst Technologies 9701-2775-02 Plus Portal Controller Qcept ChemetriQ-3000 

AMAT Applied Materials 02-351965-00 Positioning Robot Gasonics Aura 2000-LL 

LKT Automation LKT2001 Automated Magazine Unloader System  Working

Anelva A12-05110 Motor Control Unit-A  Working

Anelva A12-03638 Motor Control Unit-B  Working

Lam Research 12123-1-C-01441057-R3 Drive Arm Assembly  Working

MECS Corporation AL100 Wafer Prealigner Untested As-Is

Cybor ADS660-00 Control Module Pneumatic Interface ADS660-12  Working

Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S307E DUV 300mm  Working

Nikon 4S015-197 CPU Board PCB NK386SX4-NSC NSR  Working

Nikon 4S015-257 Processor Control Board PCB NK386SX6 NSR-S307E DUV 300mm 

Nikon TTLFC2 Plate NSR-S204B Step-and-Repeat Scanning System  Working

Nikon 4S015-094 Processor Board NK386SX3 PCB Card NSR-S202A  Working

Nikon 4S015-063 PCB Card NK386SX  Working

Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Step-and-Repeat 

Nikon 4S015-197 Processor Board PCB NK386SX4-NSC NSR-S204B Step-and-Repeat 

Matrix Integrated Systems System 10 Style 1104 Control Computer PC  Working

Genesis Vacuum Technologies SS452-1018 108-000200 Balzers LLS 801  Working

TEL Tokyo Electron 5080-192749-12 X-Axis IRAM XY-HS0051-804-001 Lithius 

TEL Tokyo Electron 5085-404809-11 Career Station Block Robot Track Lithius 

TEL Tokyo Electron CPC-T0033A-12 IO CSB Board #01 TOB1033 Lithius  Working

Asyst Technologies OFH-3000Q Pre-Aligner 200mm and 300mm Compatible  Working

OAI 0320-010-01 Exposure Analyzer 320 System  Working

AMAT Applied Materials 0010-35937 RF Match Assembly  Working

Valad Electric HP18X24-22.5-1 Genesis Press Heater Module working

Turbo-V 250 Varian 9699007 Turbomolecular Pump  Tested Working

AMAT Applied Materials 0010-24485 422527-P4-PROJ 300mm 32x.300 Magnet New

Nikon 3AR-4R Vacuum Gauge Box NSR-S307E  Working

Lam Research 852-011061-503-E1-231 Lower Chamber 200mm 4420 Untested As-Is

Millipore W2501PH02 Photo Resist Pump SVG 90S  Working

CTI-Cryogenics 8116081G006 On-Board 8F Cryopump w/ACM  Working

SVG Silicon Valley Group Developer Spindle Motor Controller 121-142F 90S 

TEL Tokyo Electron A119182 Magnet Winding Assembly RMX 12 MRC  Working

TEL Tokyo Electron P8 Wafer Prober 200mm Dual Arm Robot and Controller 

Daifuku OPC-5600A SBC Single Board Computer VMEbus PCB Card MEM-5691B 

TEL Tokyo Electron OYDK-017A IO P-CHEM #01 OYDK-018B PCB Assembly Lithius 

AMAT Applied Materials 9090-00923ITL Processor Vacuum Control Chassis 

AMAT Applied Materials AM9090-00923ITL Processor Vacuum Control Chassis 

Ultratech Stepper 01-18-01818-01 Optics Assembly 2244i Photolithography 

Nikon K-00102 Laser Lens Carousal Assembly KNB47145 NSR  Working