欢迎访问ic37.com |
会员登录 免费注册
发布采购

2711-T10C10L1

日期:2018-5-16类别:会员资讯 阅读:289 (来源:互联网)
公司:
漳州鼎晟达自动化设备有限公司
联系人:
林工
手机:
18105962658
电话:
18105962658
传真:
0596-3119658
QQ:
2880842791 2880842790
地址:
漳州市漳浦县绥安镇金浦路中段东侧金浦花园1幢302
摘要:ALLEN BRADLEY 2711-T10C10L1 OPERATOR INTERFACE SER C REV B FRN 4.10 24VDC 1.1A ALLEN BRADLEY 2711-T

ALLEN BRADLEY 2711-T10C10L1 OPERATOR INTERFACE SER C REV B FRN 4.10 24VDC 1.1A

ALLEN BRADLEY 2711-T10C10L1 OPERATOR INTERFACE SER C REV B FRN 4.10 24VDC 1.1A

ALLEN BRADLEY 2711-T10C10L1 OPERATOR INTERFACE SER C REV B FRN 4.10 24VDC 1.1A

         咨询热线:18105962658(林工)
诚信经营,质量为首,诚信至上,鼎晟达竭诚为您服务               
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~`  
漳州鼎晟达自动化设备有限公司       
 联系人:林经理(销售经理)                                         
手机      :18105962658(微信同步)
QQ        :2880842791                        
邮箱      :2880842791@qq.com
传真      :0596-3119658(请备注林工收)                                         
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
*所有备件的质保期均为1年,经过专业测试认证。
*如果您需要订购产品超过1件,请与我们联系,我们可以为您提供折扣。
*我们仅采用快递方式递送备件。(顺丰)
*如果您发现其他供货商为相同产品提供更为低廉的价格,我们也愿意参照其价格向您提供进一步的折扣。如果还有其他任何问题,请随时与我们联系。
*请让我们知道您有任何,备品,备件,的需要,我们可以给您进一步的援助,我们等候您的询盘。
【主营产品】
1:Invensys Foxboro(福克斯波罗):I/A Series系统,FBM(现场输入/输出模块)顺序控制、梯形逻辑控制、事故追忆处理、数模转换、输入/输出信号处理、数据通信及处理等。

2:Invensys Triconex: 冗余容错控制系统、基于三重模件冗余(TMR)结构的最现代化的容错控制器。

3:Westinghouse(西屋): OVATION系统、WDPF系统、WEStation系统备件。

4:Rockwell Allen-Bradley: Reliance瑞恩、SLC500/1747/1746、MicroLogix/1761/1763/1762/1766/1764、CompactLogix/1769/1768、Logix5000/1756/1789/1794/1760/1788、PLC-5/1771/1785等。

5:Schneider Modicon(施耐德莫迪康):Quantum 140系列处理器、控制卡、电源模块等。

6:ABB:工业机器人备件DSQC系列、Bailey INFI 90等。

7:Siemens(西门子):Siemens MOORE, Siemens Simatic C1,Siemens数控系统等。

8:Motorola(摩托罗拉):MVME 162、MVME 167、MVME1772、MVME177等系列。

9:XYCOM:I/O 、VME板和处理器等。

10:GE FANUC(GE发那科):模块、卡件、驱动器等各类备件。

11:Yaskawa(安川):伺服控制器、伺服马达、伺服驱动器。

12:Bosch Rexroth(博世力士乐):Indramat,I/O模块,PLC控制器,驱动模块等。

13:Woodward(伍德沃德):SPC阀位控制器、PEAK150数字控制器。

14:工业机器人系统备件。

TEL Tokyo Electron Photo Resist Resevoir DEV 2-2 Clean Track ACT12  Working

TEL Tokyo Electron EHX Box Pressure Manometer Panel ACT12  Working

AMAT Applied Materials 0040-99913 HD PFS Assembly Plasma Flood System 

AMAT Applied Materials 0040-80228 QX Source Chamber 0040-80232 002-86470 

Bay Networks Model 5000 14 Card Slot Ethernet Web Host  Untested As-Is

TEL Tokyo Electron A115115 Pod and Tube Weldment Sput 2 Etch Rev. C New

TEL Tokyo Electron 1D81-000096-AB PCB Board TYB 211-1/GAS Unity II  Working

TEL Tokyo Electron 1D81-000096-AA PCB Board TYB 211-1/GAS Unity II  Working

Pearl Kogyo APU500 Vpp RF Monitor Unit Hitachi M-712E Etcher  Working

TEL Tokyo Electron 2985-404117-W3 Side Rinse Arm Assembly (L) ACT12 

TEL Tokyo Electron 2985-404116-W3 Side Rinse Arm Assembly (12-R) ACT12 

TEL Tokyo Electron 2985-416715-W6 Resist Arm Assembly (12-L) ACT12 

TEL Tokyo Electron 2985-416713-W5 Resist Arm Assembly (12-R) ACT12 

TEL Tokyo Electron 300mm Air Valve Assembly Develop Process Station ACT12 As-Is

VAT 62034-KA18-1005 Pneumatic Angle Isolation Valve Lot of 6  Working

TEL Tokyo Electron 2985-403942-W1 Left Motor Scan Assembly ACT12 300mm 

TEL Tokyo Electron 2985-403941-W1 Right Motor Scan Assembly ACT12 300mm 

AMAT Applied Materials 0242-17788 Single Zone Heater 300mm Kit New

AMAT Applied Materials 0010-24988 Shutter Garage Housing Assembly New Surplus

Kokusai SCOM2A PCB 87W19 D1E012238  Working

KLA-Tencor Lens Focus Assembly 374113  Working

Nikon Microscope Optics Assembly Lot of 2 OPTISTATION 3 For Part  As-Is

AMAT Applied Materials 0040-85322 300mm PVD 32x .300 Magnet Ring New

Xycom 70113-003 PCB Card 71113A-001 Lam Research Rainbow 4420  Working

Lam Research 853-012550-001-H-ELM Wafer Shuttle Assembly 4420 Etcher 

Nikon 2S700-583-1 CCCNT Board PCB Card 2S014-036-4 V2.31 OPTISTATION 3A 

NSK ESA-LYB2AF6-20 Y Axis Servo Motor Driver TEL 2980-194841-11 ACT12-300 

NSK ESA-J2006AF3-20 θ Theta Axis Servo Driver TEL 2980-194842-11 ACT12-300 

Nikon LS353-01-030 Interface Processor Board PCB LANRCSLIFX4  Working

Digital Electronics UF7811-2-DV2S-24V Touch Screen Display Panel  Working

AMAT Applied Materials 0100-09299 Serial PCB Card Mizar 8115-F-00-00 P5000 

Nikon 4S019-288-1 Laser Interface Board VME Card IFSIGCOR NSR-S307E  Working

Nikon 4S019-288-1 Interface Board VME Card IFSIGCOR PCB NSR-S205C  Working

Nikon 2S700-582-1 Processor Board TCCNT PCB Card 2S014-035-5 3-TR V17.10 

SVG Silicon Valley Group 859-0727-010 Shutter Interlock Control PCB A2501 

Schumacher BK1200SSB Stainless Steel Bubbler Ampule  Working

AMAT Applied Materials 0040-06361 ISM SWLL 300mm Bellows Assembly 

AMAT Applied Materials 0190-76273 Power Supply P1183-208/208  Working

Cognex 200-0075-4 Vision Image Board 203-0075-RE PCB Card  Working

RECIF Technologies SPP300F05-A3500 Wafer Handling Motor Transmission 

TEL Tokyo Electron OYDK-017A IO P-CHEM #01 PCB Lithius  Working

HP Hewlett-Packard 16320-66551 Pin Card PCB B-3152 16320-6651 Lot of 10 

GaSonics 90-2650 Controller Board PCB Rev. A  Working

Foxbro B1279EN PCB Board Assembly 02-187742-01  Working

GaSonics 90-2570 Controller Board PCB Rev. A FabMotion  Working

AMAT Applied Materials 0100-09054 Analog Input Board PCB Card Rev. H P5000 

Crouzet PAM 3 PC 3210 Multiplexer PCB TEL Tokyo Electron 3310440 Lot of 20 

Inficon 923-603-G2 CPM Controller  Working

MRC Materials Research A118144 Autotune RF Network  Working

Vox Technologies ROCKY-548TX V2.0 5BC Single Board Computer 14-013582 

Yaskawa V2SB End Effector TEL Tokyo Electron Unity II  Working

TEL Tokyo Electron TMD002-X8 Resist Buffer Tanks WIth LE Sensor Set 

Asyst 9700-6209-01 Power Distribution Center ASM Epsilon  Working

TEL Tokyo Electron 1D81-0000-0096A9 Gas Board Avio Unity II  Working

TEL Tokyo Electron CPC-G227B01A-11 Oven I/O Board PCB TKB2121 ACT12 

Lam Research 852-011061-503-C-CHMN Lower Chamber Assembly 4420 Etcher As-Is

Nikon 4S061-686 PZT Driver Denso PZTDRIVER  Working

Keithley Matrix Card 7071  Working

Electroglas 4085X Camera Assembly 250957-001B 249006-001F 249014-001B 

Electroglas 4085X 247012-001 Rev. E Drive Shaft Assembly  Working

Electroglas 248057-001 A End Effector Assembly  Working

Kooltronic Electroglas Exhaust Fan Assembly 950-005-52  Working

Komatsu KDP1320LE-1 Control Panel  Working

JAE 6 Axis Vibration Measurement Unit 4S586-750 JNP-004  Working

JAE 4400-1100 6 Axis Vibration Measurement Unit Nikon 4S585-505  Working

Koganei SP1207W Vacuum Assembly 4K191-631 Nikon NSR Series  Working

Nikon 4S018-707-2A Driver Board PCB PWM-DRVI  Working

RECIF Technologies IDLW8-A9307 Computer  Working

TEL P-8 SIO Board 3281-000014-16  Working

KLA Instruments 710-806050-01 Video Interface PCB TEL Tokyo Electron P-8 

KLA-Tencor 710-806051-01 DP Video Board Rev. AO TEL 3281-000051-11 P-8 

Pacific Scientific Stepper Drive 6415-001-N-N-N Lot of 5 

TEL Tokyo Electron P-8 200mm Wafer Tray Loader Assembly CDQ2A32-75D-F79-XB9 

Screen Lifter Assembly FC-3000 New

KLA-Tencor 547247 Rev AA UI Splitter Board AIT 2  Working

Yaskawa XU-DL1110 Robot Power Supply Module Hitachi I-900SRT  Working

Cambridge Fluid Systems 0010-91663 Gas Panel  Working

Hitachi 571-7022 Processor PCB Card AFADC00 I-900SRT  Working

Hitachi 571-7025 Processor PCB Card AFCNT00 I-900SRT  Working

Hitachi 571-7016 Processor PCB Card COUNTER0 I-900SRT  Working

VAT 02112-AE24-AAJ1 Rectangular Slit Valve MONOVAT  Working

Horiba STEC SEC-7330M Mass Flow Controller 1 SLM O2 Refurbished

Nikon 4S019-073-1 Chamber CPU Board PCB CHBCPU-1 PC01023B NSR-S307E  Working

DNS Dainippon Screen CESB-2012 Processor PCB Card BP-0008B SC-W60A-AV 

Panasonic MSD3AZA1Y03 Driver Unit PCB Card 581B343E 581B342G TEL ACT8 

TURBOTRONIK NT 20 Leybold 857 20 Pump Controller NT20 SW2.5  Tested Working

AMAT Applied Materials 0100-76181 Precision 5000 Wiring Distribution PCB 

Inficon 923-600-G7 Transpector Residual Gas Analyzer 923-601-G6 CPM300 

AMAT Applied Materials 0100-09237 Dual FREQ Wiring Interconnect PCB P5000 

Kensington 4000-6010-02 SBC Single Board Computer PCB Card AAEP3  Working

OKI Techno E2U008/PWR Power Supply PCB TEL E239-000069-11 T-3044SS  Working

Telemecanique LXM15LD21M3 Servo Drive 15 LP  Working

NKS ESA-Y3008T25-21 Servo Drive ASML 4022.470.0884 TRMB Module  Working

MEN Mikro Elektronik 090033-00 Robot Controller Reis 3520226  Working

KLA Instruments 710-608019-00 VME Column Interface VCI PCB Card  Working

ASML 4022.470.0889 CRB Module 4022.471.9501 Maxon IES 021.2B  Working

ASML 4022.470.0892 TRB Module 4022.471.9501 PCB Card 4022.471.4479  Working

Opal 30612450000 SPGU Sine Power Generator Unit PCB Card AMAT VeraSEM 

B&R Automation 5PC720.1505-K16 15" Industrial Panel PC Tested Not Working As-Is

Panasonic MQMZ022A2D Servo Motor Drive Assembly AMAT VeraSEM  Working

MDC Vacuum Products 311081 Pneumatic Angle Valve HV Series LAV-600-PAA 

Kensington Laboratories 4000-6002 Axis PCB Card 4000-682-02 v10.45 MEPR 

A-B Allen Bradley 96080677 PLC-5/30 Processor Module PLC PCB Card 1785-L30B 

Reis Robotics 3529638 Servo Drive F300 Drive 4009 AT  Working

Reis Robotics PNT350-24V/6V5 Robot Power Supply  Working

Telemecanique LXM15LD17N4 Servo Drive Lexium 15 LP  Working

Lam Research 853-012350-002-F-230S Outer Gate Assembly Rev. G 4420 Rainbow 

TEL Tokyo Electron 3D87-051262-V1 Top Vacuum Check Assembly Kit Telius New

TEL Tokyo Electron HTEW-TA6-E-11 AC Control Board #06 TAB2300  Working

TEL Tokyo Electron HTE-OA1-B-11 Oven Board#01 PCB HTE-OV1-B-11 ACT12 

SDS V-DSP240/SP 4-Channel Interface PCB Card SDS-9725 Epsilon 3000  Working

Opto 22 PB32P2 Relay Board PCB IDC5Q 8 MRC Materials Research Eclipse Star 

Therma-Wave 18-011089 Lens Optics Block Assembly Opti-Probe 2600B  Working

Kokusai Vertron Exhaust Controller CX1204  Working

Kokusai Furnace CPU Board DIE01294A KBCPU9/A1  Working

Kokusai Vertron Control Board DIE01277B MC16/A2 MSUB16/A1  Working

Omron Photoelectric Sensor Set Z4LC-S28  Working

MRC Materials Research A121208 Power Supply Module Eclipse Star  Working

MRC Materials Research 115970-008 Multibus PCB Card Eclipse  Working

MRC Materials Research 618793-002 Control PCB PSBC221S Eclipse  Working

Opto 22 PB32P2 Relay Board 884-15-000 MRC Materials Research Eclipse Star 

Opto 22 PB32P2 Relay Board PCB ODC5Q MRC Materials Research Eclipse Star 

Honeywell 037-0173-25 Sputtering Target 300mm New

Force Computers 930-12535-101.A1 PCB Card SYS68K/SIO-2 Lam 810-017038-002.B 

AMAT Applied Materials 0225-05707"XB" 6" Focus Rings ACP Lot of 25 

Leybold 83-272-002 Controller Turbotronik NT20

Deublin 957-600 Pneumatic Motor AMAT Applied Materials 0190-01272  Working

Nikon 2S700-580 SCPU Control PCB Card 2S014-033-3 V1.21 OPTISTATION 3 

Nikon LS353-01-014 BNC Interface Processor Board PCB LANRCSLIFX4  Working

Nikon 4S005-204 Optical Assembly 4S005-206 NSR-S204B Step and Scan System 

SVG Silicon Valley Group 03-188692D01 HW INTRL PCB Board E3000 Rev. A 

SVG Silicon Valley Group 859-0727-001 Shutter Interlock Control PCB A2501 

Topaz UG6T03OH208U208 Ultra Isolator Transformer 97203-31 ASML SVG 905 DUV 

Edwards B65354000 Pneumatic Gate Valve GVI 100P Copper Exposed  Working

SMC XLD-100D-X510 Pneumatic High Vacuum Angle Valve ISO100  Working

Seiko Seiki SCU-STC-A Turbomolecular Pump STP Thermo Controller  Working

CONTEC SPC-CLR-JMC2 Rack Mount Control Computer FA-UNITF8DR New

Lam Research 853-012123-001-I-LLFDR Harmonic Arm Drive 4420  Working

RECIF IDLW8 200mm Optical Character ID Reader Wafer Sorter  As-Is

Leybold WS1001 Vacuum Pump Kit New

Edwards D37370761 iGX Accessory Module New Surplus

Lam Research 853-140013-001-1-230S Outer Gate Assembly 4420 Etcher  Working

Therma-Wave 18-010918 Power Supply Opti-Probe 2600B  Working

Yaskawa JAMSC-B1070 Register Output lot of 5  Working

Hitachi CCD Video Camera FP-CIU Lot of 2  Working

Nikon 4S018-807-? BMU-DRV Board PCB H=10.0  Working

Nikon 4S018-806 BMU-CTRL Board PCB H=9.4mm  Working

TEL Tokyo Electron 1D81-000088 PCB Card TVB0008-1/VMIF Unity II  Working

TEL Tokyo Electron EC81-000016-11 PCB Card TEB102-1/NASS Unity II  Working

Lam Research 853-013610-001-D 4420 Solenoid Tray Assembly  Working

Lam Research 853-013610-111-1-C222 4420 Solenoid Tray Assembly  Working

Lam Research 853-013610-001 4420 Solenoid Tray Assembly Rev. E  Working

Lam Research 852-011061-103 Lower Chamber Assembly 4420 Etcher Untested As-Is

KLA-Tencor 710-680279-00 Optics Interface Board New

Hitachi Wafer Transfer Robot Assembly I-900SRT Untested As-Is

Hitachi CCWLMT Linear Optics Stage Assembly I-900SRT  Working

TEL Tokyo Electron MLXS-D12-670-3 Laser Assembly Unity 2 Lot of 2  Working

Hitachi 571-7207 SRSEQ11 PCB Board I-900SRT  Working

SCU Seiko Seiki SCU-H1301L1 Turbomolecular Pump Control Unit  Tested Working

SVG Silicon Valley Group PDPI Photo Resist Catch Cup 200mm 90S  Working

Yokogawa SR1200A02 -2SN*1C/96S2895 DD Servo Actuator TEL ACT12  Working

Nikon 2S700-582-1 Processor Board TCCNT PCB Card 2S014-035-4 OPTISTATION 3 

Nikon 2S700-583-1 CCCNT Board PCB Card 2S014-036-4 V2.31 OPTISTATION 3 

VAT 0300X-CA24-ALL1 Slit Valve AMAT Applied Materials 3870-00467 Refurbished

VAT 0340X-CA24-BFP1 Slit Valve AMAT Applied Materials 3870-00467 Refurbished

VAT 0340X-CA24-ATF1 Slit Valve AMAT Applied Materials 3870-00467 Refurbished

TURBOTRONIK NT 20 Leybold 857 21 Pump Controller NT20 SW2.6  Tested Working

AMAT Applied Materials 1868624 200mm Pre Clean II Kit 0200-00218 0021-54083 New

ASM Advanced Semiconductor Materials LVC-414 Vaporizer 15g/mn SIHCL3 Refurbished

ASM Advanced Semiconductor Materials LVC-414 Vaporizer 16g/mn SIHCL3 Refurbished

Nor-Cal Products 11222-0400R UHV Pneumatic Linear Gate Valve  Working

Vacuum Apparatus 125-0400 UHV Pneumatic Linear Gate Valve  Working

Nova Measuring Instruments 210-70090-00 NovaScan 420 Notebook Assembly 

Keyence KV-5000 Realtime Logic Controller KV-C64XC KV-C64TCP KV-DN20 Hirata 

Intel PBA 143461-014 Single Board Computer 88/40A PCB MRC A116470 Eclipse 

Intel PBA 115970-009 Multibus PCB Card MRC Eclipse Star  Working

KLA Instruments 777-402646-00 5XXX Tooling Set 5107 Overlay Inspection 

Lesson 108022.00 Motor Assembly Hub City 0222-23523-454 MediVac  Working

Lesson 108022.00 Motor Assembly Hub City 0222-00293-1524 MeiVac 2460 System 

Lam Research 853-012350-002-F-2035 Outer Gate Assembly Rev. F 4428b Rainbow 

Lam Research 853-012123-001-E-2305 Harmonic Arm Drive Motor Assembly 4428b 

Takasago Thermal Engineering 4K185-881AN Line Chemical Filter New

Komatsu Electronics 20000240 Heat Exchanger Power Supply HGR-72  Working

Lam Research 716-028721-281 8" Shadow DMD Bottom Wafer Clamp PLT Rev. A New

Force Computers Inc 880-12535-101 Control Board Rev.A2 working

Force Computers 890-14443-101 VME Card SYS68K/SASI-1 Lam MOD 1 4420  Working

Force Computers 880-12537-101.A2 PCB Card Lam Research 4420  Working

Lam Research 853-012350-002-F-230 Outer Gate Assembly 4420 Etcher  Working

TEL Tokyo Electron 1D81-000115 PCB Card TYB414-1/CONT Unity II  Working

TEL Tokyo Electron 1D81-00000097A7 ITC PCB Board TYB 212-1/RF Unity II 

TEL Tokyo Electron 1D81-000113-19 PCB Board TYB221-1/PUMP Unity II  Working

Oriental Motor 4054-LD4 5-Phase Servo Drive Super Vexta Reseller Lot of 9 

TEL Tokyo Electron HTE-TGR-B-W1 Ghost Repeater Board TAB5600-W  Working

Nikon 45018-923 Power Board IU-PWR1-X4P  Working

KLA-Tencor 0124592-000 Laser Servo Detector W/Spring Clamp AIT/UV New

STEC SEC-7330M Mass Flow Controller 200 CCM SF6 Refurbished

TURBOTRONIK NT 20 Leybold 857 20 Pump Controller NT20 V1.4  Tested Working

Force Computers 300000 CPU Processor SYS68K/SASI-1 Lam 17035-1-B-6562347 

CTI-Cryogenics 8113177G002 CPC Module Brooks Automation  Working

Sumitomo Electric 52131001A D/A Board DA-Z PCB Card Nikon NSR  Working

Sumitomo Electric 52131001A D/A Board DA-Z PCB Card Nikon NSR  Working

Hitachi 571-7511(b) Processor PCB Card AFADC00 I-900SRT  Working

TURBOVAC 50 Leybold Heraeus 854 01 Turbomolecular Pump 85401  Untested As-Is

SVG Silicon Valley Group 879-4027-001 Wafer Handling Robot Rev. F As-Is

SVG Silicon Valley Group 879-9075-011 Wafer Handling Robot Rev. A As-Is

SVG Silicon Valley Group 879-0427-002 Wafer Handling Robot Rev. F As-Is

SVG Silicon Valley Group 879-0427-001 Wafer Handling Robot Rev. E As-Is

Lambda EMI 00500003 Power Supply 5kW SX502S-5KV-NEG-DC-AIR-1370 Untested As-Is

TEL Tokyo Electron ISA Slot PCB Module TEB302-1/BP Unity II  Working

ET600W Ebara ETC04 PWM-20M Turbo-Molecular Pump Controller  Tested Working

Iwaki HPT-106-2 Photoresist Tubephragm Pump HPT-106 No Cables  Working

STEC SEC-4600M Mass Flow Controller AMAT 3030-09676 100 SLM N2 Refurbished

EXT 70H Edwards B722-23-000 Turbomolecular Pump Tested Locked Rotor As-Is

Hiranuma Sangyo CADS Drain Wash Controller COM-555P working

RECIF Technologies SPPF50A60000 Robot Arm Refurbished

AMAT Applied Materials 0090-91409 Beamline Interlock Box Rev. A 

AMAT Applied Materials 0020-33879 R2 STD Cathode New

AMAT Applied Materials 0250-09623 Anodized Gas Distribution Plate New surplus

Mactronix H2O Company 125mm Flat Finder Reseller Lot of 4  Working

Fusion Systems 264301 Power Supply M200PCU  Working

Nikon NSR-S307E Z-4B1A-A1601 Power Supply Module 4S019-235 working 4S001-107

Force Computers 890-14425-101 PCB Card SYS68K/CPU-6VB/C3 Lam 4420  Working

Force Computers CPU-6VB Board 600-11315-301 working

Danaher Motion 256MB Single Board Computer 1107-2065 Rev.1 working

Canon CDIF Board BG8-2676  Working

Lucent Technologies 17-112185-00 Bottom Shield Refurbished

Pentagon Technologies ATM-0704-Al/Ti Clamp Ring 2.5mm WEE New

AMAT Applied Materials 0200-09741 Quartz Focusing Ring New Surplus

Huber UniPump II-PV External Pressure Pump New

AMAT Applied materials 0020-33880 Holder Insulation R2 New Surplus

Keithey 9620-FMU Power PCB working

TEL Tokyo Electron P-8 Wafer Prober Loader Rack 3281-000086-13  Working

TEL Tokyo Electron Drive Assembly SMC CY1R6H-R5495-125 P-8  Working

Nikon 4S008-414 Camera Controller NVCEX-X4B1  Working

Semicon Precision Industries A-1402 Lower Shield Assembly New

AMAT Applied Materials 0090-91409 ITL Beamline Interlock Box XR80  Working

Nikon 2S700-598 Memory Board MCR PCB Card 2S014-038-2 OPTISTATION 3  Working

Motech 0090-91123 Voltage Clamp Unit CD51/E AMAT XR80 Implant  Working

Kyoto Denkiki KDS-20170S Coil Power Supply Hitachi 3-845612 New

Digital Dynamics SESIOC 1 PVD Controller Novellus 02-258471-00  Working

MRC Materials Research A129350 SS Relay Assembly Eclipse Star New

Ushio Control Unit HB-25103BY-C

LAM Kit, crtsy, cal, te 843-022046-001

LAM Kit, crtsy, cal, te 843-022046-001 REV B

Applied Materials 0010-20422 Endura J Shield Treatment 8in

Lam Research 716-140118-001 Focus Ring ESC 8"

Applied Materials 0200-02384 SI Insert Ring, Lapping Type

Applied Materials 0020-23811 Coherent Plate 8"

APPLIED MATERIALS 0040-99951 / 0020-34017 ESC Polymide Pedestal (0040-9995)

DNS FEPS-002

HP 10746-60001 Binary Interface Board

JOHNSON MATTHEY P1000-05635 Target 0.975 x 11.640"

Tektronix DG2020A Data Generator

HEWLETT PACKARD 16058A Test Fixture

NSK ESLZ10CF1-01A DD Driver

HP E1401B High Power Mainframe

CONOPTICS M360-80 E.O. Modulator Z 50 Ohm S.E.

ASM 88-123834A01 WAFER - 200MM-200A AMORPH/1000A OXIDE 25pcs

ASM 1011-209-01 MFC FC-STEC-Z512-100 SCCM-SIH4-AI-NO-124MM Stec SEC-Z512MGX

ASM 1012-061-01 MFC Mass Flow Controller FC-STEC-Z512-SIH2CL2-1 SLM-AI-NO-124MM

ASM / PureAir 63-119074A30 / STX-PA TRANSMITTER-H2-0-4%

STRAPACK CORP. JK-2 Semi Automatic Strapping Machine

THERMAL FISHER SCIENTIFIC SILICON THERMAL CH1000 Chiller

LAM RESEARCH 716-011036-001 Ring, Filler Orifice, Alumina

HARMONIC DRIVE SYSTEMS MOENTA74--G Speed Reducer

ASM 1092-993-01 / SWAGELOK 6LVV-MSMHPHF40173P1CMF060 VALVE-DUAL FLOW HIGH FLOW

BECO MFG DV2-PVDF-32-C-EP VALVE EE WASH DRAIN

UNIT INSTRUMENTS UFC-3101 Mass Flow Controller, 50L N2

AIR PRODUCTS & CHEMICALS 10350 Lid, New Style with T/C

VARIAN SEA E-112766001 / E112766100 / 112766003 PCB ASSY Spin/Flip

APPLIED MATERIALS 0010-00305 Gripper ASSY 150mm

BALDOR / PRICE JM3107 / HP75CN-425-06111-50-36-306 Pump, Spec 34F38-2718

MRC D122742 HOLDER WAFER HBUMP 6"

RACKMOUNT CSERVER 315 Rack Mounted Server for 7800 Series EPI Reactors

PMC ELECTRONICS M406D-B30-71-0 AC Servomotor

MACHINE TECHNOLOGY INC 2217605500 Motor Control

MRC MR-22377 HOLDER WAFER HBUMP 6"

MRC 701795-0 HOLDER WAFER HBUMP 6"

ASM / Horiba Stec 830053417 / SEC-4400MC-SUC MFC MASS FLOW CONTROLLER 1SLM H2

ASM / Horiba Stec 830052089 / SEC-4400MC-SUC MFC MASS FLOW CONTROLLER 500SC HCL

ASM / Horiba Stec 830052046 / SEC-4400MC-SUC MFC MASS FLOW CONTROLLER 1SLM NH3

ASM 2888092-01 ASSY TOUCHSCREEN REACTOR

ADTEC Technology AMU-3000DPX Automatic Impedance Matching Unit

Novellus 02-047200-02 ASSY, Pedestal Lift

Applied Materials 0020-26255 Adapter Foil 1.25:1

PVA TEPLA AMERICA INC 100163 CAGE FARADAY

ASTEC 73-540-0307 MP4-1E-1I-1N-1N-1T-00 Power Supply

Nikon 2S014-065 MCR-ISA PCB Board OPTISTATION New Surplus

New ASM 845003399 Valve Gate Manual 14036-PE06

ASM 1014-771-01 CONTROLLER-CLUSTER TOOL EXTERNAL DRIVES

ASM / Bronkhorst 830069372 VALVE PRESS CONTR C9-LZA-99

Nikon 81254 Camera Coupling Sort Assembly OPTISTATION  Working

ASM 1076-169-01 KIT-LOWER CHMBR TO MATCH NETWORK RF GND

Tosoh 805-438-TA Shutter Disk AMAT Endura New

Nikon 4L992-195ANBMU Mirror NSR-S306C New

Oerlikon 102161354 Etch Shield New

ASM 16-191060D01 ADAPTER FLANGE 300MM/200MM

Inficon LTSP-600 8-Channel Power Supply LSTP-03W LinearTec  Working

Inficon HPR-1100 Transpector Residual Gas Analyzer Assembly 

DS TECTINO S3T40542 UPPER ELECTRODE, 10T

New Kromschroder BCU480-10/5/1LW3GBCB1/1 Burner Control Unit BCU 480

ASTEX D13765 1.8KW HIGH VOLTAGE POWER SUPPLY

Mykrolis QCCZATM01K QuickChange ATM Chemlock .05μm Prewet Filter

WARREN Ball Valve 4" CF8M, 1000W0G

ENI MW-5DM10 RF Match (Model Matchwork 5D)

BRANSON/IPC 12390-01 PM-732 Automatch

CTI-CRYOGENICS 8080 280 K001 Absorber, Helium Filtration Cartridge

BROOKS 002-3987-02 Universal DC Power Distribution

CALMETRICS SO7494-1 ISO17025 Accreditation Cert L2319 Set

CALMETRICS S07494-2 ISO17025 Accreditation Cert L2319 Set

ASM / CELERITY 54-125027A03 / PSMBD200 MFC MASS FLOW CONTROLLER HE-100 SCCM

ASM 02-350955D01 ASSY-DYNAMIC PRESSURE REGULATOR

DOTRONIX 7-034-0105 A 10" CRT Monitor, Model CD-90

LAM RESEARCH 853-001142-001 Automatch Controller

SCHUMACHER 1491-70376 Refill Controller, Model SCM TFL

Nikon NSR 4S018-379-SPI0X2 Scanner PCB Card  Working

ASML 859030003 Preamp PCB Assembly A5401A/B  Working

Yaskawa JAMMC-SRC01I Automation Control PCB Card JAMMC-SRC02  Working

HVA High Vacuum Apparatus 11211-1003R Gate Valve  Working

HVA High Vacuum Apparatus 11210-1003R-001 Gate Valve AMAT  Working

HVA High Vacuum Apparatus 21211-1003R-00 Pneumatic 10 inch Gate Valve 

YASKAWA / DAINIPPON CACR-HR / 01BAB11Y11 Servo Pack / Server Drive

New ASM 03-187154D01 PCB Assembly HW Interlock Gate Valve

New ASM 3753603-01 Shield PCE LWR Side

EUROTHERM 2704/VH/2XX/XX/D4/XX/XX/XX/A2/XX/ENG Advanced MultiLoop Tem Controller

ASM 2381788-01 QTZ INJECTOR

ASM / CELERITY 54-123389A89 / FC-1661 MFC MASS FLOW CONTROLLER HCL-3 SLM-HOS-NO

ASM 54-125027A04 / Intelli Flow ll PSPBD281 MFC Mass Flow Controller N2 500SCCM

ASM 54-125027A12 / Coastal Instr. MFC Mass Flow Controller H2 500SCCM

ASM 1000-762-01 OPERATION PANEL/50819-1127

Nikon 9700-6101-01 Metro 300mm Motor Door Latch and Sensor Set New

ASML 851-8518-005 Rev C A/D COV.-POS.REG. PCB  Working

ASM / AERA 54-125041A12 / FC-7820 MFC MASS FLOW CONTROLLER H2-100 SLM-NO

New ASM 3751058-01 Flange Front Chamber ATM 300mm

Varian GPI 6U PCB 4428900 Working

ASM 02-331710D02 / Globe Motors 409A6043-3 ASSY-AUTODOOR-RH-MOTOR/SWITCH

BROOKS 002-9010-20 Load Arm Elevator Assembly

RADIALL R574 402 825 RF Microwave Coaxial Switch

ASM / UNIT 54-123498A13 / FC-8161 MFC MASS FLOW CONTROLLER H2-20 SLM-HOV-NO

Nikon 4S018-887-1 Interface Card PCB NIIP-BASE-M NSR System  Working

Nikon 4S001-102 Power Supply Board PCB TDK MSE280E Nikon NSR System  Working

Nikon 4S001-107 Power Supply Card PCB Tec Izu VDBC000220 NSR System  Working

TDK 4S001-102 Power Supply Assembly Nikon NSR-S307E  Working

Nikon 4S018-729 Relay Control Board PCB REX-AIR Nikon NSR System  Working

Nikon 4S018-930 Relay Interface Board PCB MTR-CTRL Nikon NSR System  Working

Nikon 4S008-061 Relay Board PCB ALGAF-PROCESS-A Nikon NSR System  Working

Nikon 4S018-728 REX Driver Board PCB REX-DRV Nikon NSR System  Working

Nikon 4S008-060 Relay Board PCB ALGAF-PROCESS-D Nikon NSR System  Working

Tec Izu Electronics VDBC0002201 Power Module Nikon 4S001-107 NSR-S307E 

Nikon NSR-S306C Power Module 4S001-102  Working

Nikon 4S014-182 Interface Relay Board PCB AF-I/FX4A NSR System  Working

Cymer 05-05184-00 Rev. 011 ADC Interface Board  Working

Cymer 05-05182-00 Interface Board  Working

Cymer 05-05184-00 Rev. 001 ADC Interface Board  Working

TEL Tokyo Electron Cassette Loader Assembly PR300Z Carrierless BEOL  Working

Lam Research 715-028552-001 Upper Electrode Ring Clamp New

Lam Research 713-028647-149 Ring 713-28647-149  Working

SVG 99-46616-01 Wafer Cassette Indexer 9021ESI  Working

Therma-Wave 14-009631 Digital Interface PCB Rev. B Opti-Probe 2600B  Working

Hitachi 569-5549 EVAC Control Panel EVPANEL3 Hitachi S-9380 SEM  Working

Eurotherm 461082/29/19/ENG Power Amplifier 500V 25A New

Panasonic MF212 Camera Controller PCB Assembly 710-005314-00 TEL P-8 Prober 

Hitachi 45001T-93812 Chamber Plate Assembly M-712E  Working

Hitachi I0TC-02N Interface Card  Working

Hitachi 4Z001T-11111 Chamber Plate Assembly M-712E  Working

TEL Tokyo Electron P-8 Camera Control Assembly GP-MF212A KLA 710-805314-00 

Nikon Ceramic Arm Wafer Loader Transfer Robot End Effector NSR-S204B System 

Nikon Reticle Transfer Robot End Effector NSR-S204B Scanning System 

Verteq 1099596-1 SRD Spin Rinse Dryer Rotor A82M-0215 H-BAR-IN Semitool 

Verteq 1103080-3 SRD Spin Rinse Dryer Rotor A72-40MB-0215 H-BAR-OUT  Working

Verteq 1075459-1 SRD Spin Rinse Dryer Rotor A182-60M-0215 H-BAR-IN  Working

Javelin Electronics JE3762DSP CCD Camera SmartCam Navitar 1-60135M  Working

Pentagon 0021-20572 Advanced 6" Pedestal AMAT Applied Materials MRC Eclipse New

Pentagon Technologies 0020-26403 Cover Ring AMAT Applied Materials Endura New

Nikon 2S701-009 LED Board NTP-NMC-T PCB Card 2S020-061 V1.09 OPTISTATION 3 

KLA-Tencor 775-322365-000 Rev AD Solectron REF(KE) Preamp Assembly  Working

Nikon 2S070-031 Keyboard Console 2S700-386 OPTISTATION 3 200mm  Working

Novellus 02-810433-00 DLCM Power Distribution Module Concept 2 Altus Working

Hitachi E-207 E-257 Spray Nozzle Assemblies Qty 4 + Nozzles New

Millipore MDVX-018S01 Throttle Valve Lam 853-013541-002 839-013522-001 Cu 

Helix Technology 8186052G001 CPU Processor Board PCB CTI-Cryogenics  Working

P-E Perkin Elmer 7-8744 Ultek D-I Pump 17356 Incomplete Untested As-Is

Hine 853-4290-002 Process Chamber Elevator GaSonics 94-1118 06763-805 

Hine Design 853-4290-001 Load Lock Elevator GaSonics 94-1119 810-2140-005A 

Varian Semiconductor Equipment E15000400 Rev A Servo Amplifier Board E1000 

TEL Tokyo Electron 2910-304205-11 Rinse Bath Assembly ACT12  Working

Hitachi 2-813358-A Stainless Steel Flexible Vacuum Flange 6" New

Hitachi ZPS90 Chamber Block 1-824631 New

Tegal 40-658-001 Window Scan Sensor

Portwell SBC-SBX-VE SBC Single Board Computer PCB 216006980096 R0M0  Working

Ultratech Stepper 0513 4197 00 Servo Stage PCB Card Semifusion Model 151 

HP Hewlett-Packard 10762-60001 Comparator PCB Card Ultratech 0503-300700 

Ultratech Stepper 0513 4197 00 Servo Stage PCB Card Semifusion Model 152 

Ultratech Stepper 0513-555000 Servo Stage PCB Card Semifusion Model 151 

HP Hewlett-Packard 10746-60001 Binary Interface Board PCB Card Rev. E 

HP Hewlett-Packard 10746-60001 Binary Interface Board PCB Card Rev. C 

Ultratech Stepper 0513 4197 00 Servo Stage PCB Card UltraStep 1000  Working

HP Hewlett-Packard 10764-60003 Fast Pulse Converter PCB Card Ultratech 1000 

HP Hewlett-Packard 10764-60008 Fast Pulse Converter PCB Card Ultratech 1000