欢迎访问ic37.com |
会员登录 免费注册
发布采购

NSR-202A

日期:2018-5-3类别:会员资讯 阅读:882 (来源:互联网)
公司:
漳州鼎晟达自动化设备有限公司
联系人:
林工
手机:
18105962658
电话:
18105962658
传真:
0596-3119658
QQ:
2880842791 2880842790
地址:
漳州市漳浦县绥安镇金浦路中段东侧金浦花园1幢302
摘要:Nikon Reticle Blinds NSR-202A with Yaskawa SGM-A3C3-NQ23 Working Nikon Reticle Blinds NSR-202A wit

Nikon Reticle Blinds NSR-202A with Yaskawa SGM-A3C3-NQ23  Working
Nikon Reticle Blinds NSR-202A with Yaskawa SGM-A3C3-NQ23  Working
Nikon Reticle Blinds NSR-202A with Yaskawa SGM-A3C3-NQ23  Working

         咨询热线:18105962658(林工)
诚信经营,质量为首,诚信至上,鼎晟达竭诚为您服务               
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~`  
漳州鼎晟达自动化设备有限公司       
 联系人:林经理(销售经理)                                         
手机      :18105962658(微信同步)
QQ        :2880842791                        
邮箱      :2880842791@qq.com
传真      :0596-3119658(请备注林工收)                                         
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
*所有备件的质保期均为1年,经过专业测试认证。
*如果您需要订购产品超过1件,请与我们联系,我们可以为您提供折扣。
*我们仅采用快递方式递送备件。(顺丰)
*如果您发现其他供货商为相同产品提供更为低廉的价格,我们也愿意参照其价格向您提供进一步的折扣。如果还有其他任何问题,请随时与我们联系。
*请让我们知道您有任何,备品,备件,的需要,我们可以给您进一步的援助,我们等候您的询盘。
【主营产品】
1:Invensys Foxboro(福克斯波罗):I/A Series系统,FBM(现场输入/输出模块)顺序控制、梯形逻辑控制、事故追忆处理、数模转换、输入/输出信号处理、数据通信及处理等。

2:Invensys Triconex: 冗余容错控制系统、基于三重模件冗余(TMR)结构的最现代化的容错控制器。

3:Westinghouse(西屋): OVATION系统、WDPF系统、WEStation系统备件。

4:Rockwell Allen-Bradley: Reliance瑞恩、SLC500/1747/1746、MicroLogix/1761/1763/1762/1766/1764、CompactLogix/1769/1768、Logix5000/1756/1789/1794/1760/1788、PLC-5/1771/1785等。

5:Schneider Modicon(施耐德莫迪康):Quantum 140系列处理器、控制卡、电源模块等。

6:ABB:工业机器人备件DSQC系列、Bailey INFI 90等。

7:Siemens(西门子):Siemens MOORE, Siemens Simatic C1,Siemens数控系统等。

8:Motorola(摩托罗拉):MVME 162、MVME 167、MVME1772、MVME177等系列。

9:XYCOM:I/O 、VME板和处理器等。

10:GE FANUC(GE发那科):模块、卡件、驱动器等各类备件。

11:Yaskawa(安川):伺服控制器、伺服马达、伺服驱动器。

12:Bosch Rexroth(博世力士乐):Indramat,I/O模块,PLC控制器,驱动模块等。

13:Woodward(伍德沃德):SPC阀位控制器、PEAK150数字控制器。

14:工业机器人系统备件。

ASM 30-106660A94 MOTOR-DC BRUSHLESS 4 POLE W/KEYWAY

ASM Advanced Semiconductor Materials 54-123388A45-R Controller XPE Refurbished

New ASM 16-143356-01 Reflector Lower Center 300mm - 2000w

Varian Semiconductor Equipment X4064200 Implanter Bearing Assembly Refurbished

New ASM 50-125108A38 Valve ISO NC VAC Pneumatic with Purge

ASM 03-142707U01 PCB Assembly CONF

Pentagon Technologies D116049 F V MRC PVD Cu Filler Ring 633000404 New

Nikon 4S007-668-A Process Control Board PCB FIAAF-PROCESS-A NSR-S204B 

Nikon 4S007-667-A Process Control Board PCB FIAAF-PROCESS-D NSR-S204B 

AMAT Applied Materials 200mm Wafer Stage Plate Orbot WF 720  Working

JEOL MP002897(01) L PANEL 1 PB Board PCB Assembly MP002962-00 JEM-2010F 

Pro-Face GP377R-TC41-24V HMI Graphic Control Panel GP377R-MLTE41  Working

Varian L8350-301 Multi-Gauge Controller Module L6430-301 L6433-301  Working

Daikin DFS509TC1BR Fan Filter MMH5000970 TEL Tokyo Electron Lithius FFU 

Daikin DFS509TC1BL Fan Filter MMH5000970 TEL Tokyo Electron Lithius FFU 

Crown Regulator Valve ERSB-2019-WX Lot of 15  Working

MagneTek GPD-506 E145918A AC Frequency Drive  Working

Lam Research 853-012350-002-H-LL FDR Outer Gate Assembly 4420 Etcher 

Lam Research 12350-2-D-00622135 Outer Gate Assembly 4420  Working

Lam Research 853-012350-002-D Outer Gate Assembly 4420 Etcher  Working

Lam Research 853-012350-002-J-LLFDR Outer Gate Assembly 4420 Etcher  Working

Lam Research 853-012350-002-F-230D Outer Gate Assembly 4420 Etcher  Working

Lam Research 853-012350-002--230D Outer Gate Assembly 4420 Etcher  Working

Lam Research 853-012350-002-LL FDR Outer Gate Assembly 4420 Etcher  Working

Nikon 4S085-431 Carrier Module Board 4S019-327 PCB Card NSR-S307E  Working

ASM 02-187742-01 PCB ASSY-FOXBORO-CTR

Sanritz Automation SVP501-3-P10 PCB Card SC8408M-B-I-S  Working

Omega RD6111 Single Channel Flatbed Recorder AC/DC Voltages & Currents New

AMAT Applied Materials 0240-54629 CPCI Dega Retrofit Kit New

Nikon 4S025-340-1 Interface Control Card PCB STGX8_HT NSR-620D  Working

IDI 201D IDS Controller Photoresist 3-Card Faulty Card  Tested Working

Nikon 4S007-667-A FIAAF Process Board PCB NSR  Working

Nikon NSR 4S007-668-A FIAAF Process B Board PCB  Working

Lam Research 853-012350-002 Outer Gate Assembly Rev. H 4420 Etcher  Working

Lam Research 853-012350-002-J Outer Gate Assembly  Working

Kokusai Electric CX1103 Tube Controller Operator Interface CX-2000 CX13IPL 

SMC XLA-160DA-M9BA High Vacuum Valve Assembly AMAT 0090-01100 New

Lam Research 853-012350-002-J-LLFD Outer Gate Assembly 4420 Etcher  Working

Lam Research 853-012350-002-H-230 Outer Gate Assembly 4420 Etcher  Working

Lam Research 853-012350-002-F-230S Outer Gate Assembly 4420 Etcher  Working

Contec PC-586U(PC)-LV Single Board Computer 32MB 133MHz Nikon OPTISTATION 7 

KLA-Tencor 781-23234-002 Aperture Heated Rod  Working

KLA-Tencor 781-23234-001 Aperture Heated Rod  Working

Pentagon Tech Quartz Bell Jar Q15-0130 New

Rorze RT107-1201-002 Robot Linear Track and Controller  Working

New ASM 02-196725-01 Assembly Panel Side Upper Pull

SPECTRA-PHYSICS 7300C-Y4 / 7310 7300 Laser Diode Module w/ 7310 Remote

Tylan General AC-2S06 Throttle Valve Controller AC211A Lam 796-006238-011 As-Is

ASM / MKS 50-125043U30 / 153D-26356 VALVE-EXH THRTL-3.0ID X 5.95OD-REFURB

Tescom 44-3213H282-296 Manual Pressure Regulator Brass 44-3200 Lot of 6 

ASM 1034-770-01 46-06-01 ENCLOSURE-GAS PANEL REAR FIRE SUPPR

ASM 1013-240-04 KIT-INTCON CBOM XP4 TO EMERALD PM4

Applied Materials 0020-24804 Cover Ring

Applied Materials 0021-24804 Cover Ring 8"

4649409-0001 CEE 4000 CPU Board for Brewer Science Coat/Bake Track System

New ASM 830036067 Power Supply 400w 7amp RMS Lambda 400PFC

ASM 02-331710D01 ASSY-AUTODOOR-LH-MOTOR/SWITCH

ASML 859-0743-018 Digital Focus PCB A1208  Working

IDL Semiconductor Equipment 8790135003 Unload Arm  Working

BRANSON/IPC PWA 804-13434-01 Motherboard

New ASM 16-141273-01 Flange Front Chamber Split Flow 300mm

AMAT Applied Materials 0010-19010 300mm Motorized Lift Assembly 0190-07643 

Motorola 0734000 Processor PCB Card VME 340B 84-W8787B01C  Working

Yaskawa SGMCS-08DDA-TE12 AC Servo Motor TEL Tokyo Electron Lithius  Working

MKS Instruments 152H-P0 Pressure Controller Set 122AA-00010DB Baratron 

KLA Instruments 710-653699-20 MIB PCB Card 073-500100-00 2132  Working

AMAT Applied Materials 0100-00093 Stepper Drive PCB VME Card  Working

D4B TRIVAC Leybold 305833-1003 Rotary Vane Mechanical Vacuum Pump  Tested

Intel PBA 115970-008 Multibus PCB Card MRC Eclipse Star  Working

Intel PBA 143461-015 Single Board Computer 88/40A PCB MRC Eclipse  Working

Force Computers 220169 Single Board Computer SYS68K/CPU-30BE/8 Quaestor Q5 

KLA-Tencor 0031469-000 System Computer CRS-3000 Confocal Review Station 

KLA-Tencor 740-607108-005 Lower WIEN ELECT I PCB Card eS20XP 

KLA-Tencor 740-607107-003 Upper WIEN Electric PCB Card 710-609015-01 eS20XP 

KLA-Tencor 740-614417-000 WIEN V Power PCB Card 710-612697-000 eS20XP 

KLA-Tencor 740-612539-000 Electric WIEN 24V PCB Card eS20XP E-Beam  Working

KLA-Tencor 710-611539-000 Relay Power Supply PCB Card eS20XP E-Beam  Working

VAT 02012-BA24-AJG1 Pneumatic Slit Valve KLA-Tencor eS20XP E-Beam  Working

KLA-Tencor 710-613984-000 Laser Power Supply PCB Card eS20XP E-Beam  Working

KLA-Tencor 710-611534-000 SIB Power Supply PCB Card eS20XP E-Beam  Working

KLA-Tencor 710-613083-000 LENS Power Supply PCB Card eS20XP E-Beam  Working

KLA-Tencor 710-611536-000 Eltron Power Supply PCB Card eS20XP E-Beam 

KLA-Tencor 710-613985-000 Magnetic WIEN Power PCB 710-613085-000 eS20XP 

KLA-Tencor 710-611540-000 Subrack #2 Main Power PCB Card eS20XP  Working

KLA-Tencor 710-611538-000 Subrack #1 Main Power PCB Card eS20XP  Working

KLA-Tencor 710-611529-000 Subrack #3 Main Power PCB Card eS20XP  Working

KLA-Tencor 740-615460-004 High Voltage Power Supply eS20XP E-Beam  Working

KLA-Tencor 710-611725-002 Utility Connector Board eS20XP E-Beam  Working

Hitachi DC Power Supply Unit Microwave Plasma Etching System  Working

AMAT Applied Materials 0270-01156 Robot Calibration Tool 300mm E-Chuck New

Novellus 02-834310-00 Module Controller P100/16M Concept II Altus  Working

Digital View AV-7010-0120-A4 Display Screen Monitor  Working

Mykrolis QCCYATE01K QuickChange ATE Chemlock Cartridge New

MueTec KH-1 Full Tilt Station SVG Lithography Step and Scan System Complete

S2S Electronics SAF303-045 Softdrive Assembly PX99J  Working

Yokogawa DL3110B 12bit 25MS/s Digital Oscilloscope 7003-10 lot of 2 as-is

Unaxis Balzers 41100-92238-010 Outer Chamber Liner New

Edwards 17-133801-00 (Ti) Clampless Deposition Shield New

Edwards 15-117029-00 (TiN) Dark Space Ring Shield Refurbished

Watlow TF101-2-1 RF Window Film Heater Thermal Chamber Substrate 200mm New

THK GL15S16+400-C Linear Actuator 400MM Stroke New

Koganei MRSP20X500 500mm Linear Actuator new

Toshiba Ceramics 6621000-6665232 150 Slot Wafer Holder New

Nikon 4S064-630 NSR Series Controller IU-IOP1 Working

Hitachi 560-5501 NCOLDCPS Power Supply Assembly S-9300 SEM  Working

ZEISS 1017-544 Epiplan-Apochromat Objective 100x/0.95 HD DIC 8/0

TEL Tokyo Electron HTE-TA3-A-11 AC Control Board PCB #003 TAB1900  Working

Baldor BSM80A-375BA Brushless AC Servo Motor Gerwah Gearhead AKN 60  Working

Baldor BSM80N-375BA Brushless AC Servo Motor Gerwah Gearhead AKN 60  Working

Nikon 4S019-235 WL Sub Breaker 4S018-908-1 Nikon NSR System  Working

Nikon Wafer Loader Ceramic Arm Left NSR-S205C Step-and-Repeat System 

Nikon Wafer Loader Ceramic Arm Right NSR-S205C Exposure System  Working

Nikon Wafer Loader Ceramic Arm Left NSR-S205C Exposure System  Working

Sanyo Denki PMM-BD-57025-1 Motor Driver Pentasyn  Working

MDC Materials Development Corp 490 QuietChuck DC Controller  Working

GaSonics 95-0434 A-Box Electrical Box HFD3060  Working

Opto 22 PB32P2 Relay Board PCB IDC5Q 6 MRC Materials Research Eclipse Star 

MRC Materials Research A118439 Power Control Module Eclipse Star  Working

AMAT Applied Materials 0150-75204 Centura Chamber Cable  Working

Yaskawa SGMAS-12ABA-TE11 AC Servo Motor TEL 5085-407038-11 PRA Lithius 

Edwards Exhaust Assembly KF40 Outlet KF25 Inlet Lot of 4  Working

Shimadzu EI-281 TMP Turbomolecular Pump Power Source  Working

TEL Tokyo Electron 845 CPL 2985-470922-W1 BASE Sub Unit ACT12-300  Working

Lam Research 853-013610-001-C Solenoid Tray Assembly 4420 Etcher  Working

Lam Research 853-012500R-002-E-ELM Edge Sensor Assembly 4420 Etcher  Working

Lam Research 853-012500-002-E-LEAN Edge Sensor Assembly 4420 Etcher  Working

Lam Research 810-017012-001 Heartbeat Board Rev. D 4420 Etcher  Working

Force Computers 810-017035-001 Rev B SASI Board 880-12536-101 Lam 4420 

Force Computers 880-12535-101 VME Card 810-017038-002 Lam 4420  Working

Lam Research 853-012261-001-4-230S Inner Gate Assembly 4420 Etcher  Working

AMAT Applied Materials 9010-00069 Pneumatic Valve Assembly  Working

Lam Research 853-020936-001 Upper Seal Plate Assembly 4420  Working

Verteq SC1600-5 SRD Control Module 120V  Working

VAT 0751-UA24-0002 Atmospheric Door A-779402 L-VAT New

AMAT Applied Materials 0100-90790 Wafer Arm Position Sensor XR80  Working

Hitachi 1-829191-01 Inner Chamber Cover UHF New

Yaskawa XU-RCM2500T-4 Robot Lot of 2 Nikon KAB11320/201A-4 OPTISTATION 7 As-Is

Nikon 4S065-474 Interface Control Card 4S008-292 Nikon NSR System  Working

Mykrolis QCCYATM01K Filter Catridge QuickChange ATM Chemlock 0.03μm New Surplus

Progressive Technologies A48031SSLV-L Pressure Valve SENTRY 1500  Working

TEL Tokyo Electron HA-030 DC/DC CONV #07 Board PCB Lithius  Working

Meiden QPC310/A SBC Single Board Computer PCB Card QPC410/A  Working

AMAT Applied Materials 0190-15591 Processor Card 3181-00-061-A0 Ver. 2.1 

AMAT Applied Materials 9090-00790 Resistor Quantum X  Working

Nikon 4S019-003 Interface Card PCB RST-ADPT NSR-S204B  Working

Varian S4700304 TriScroll Dry Vacuum Pump Motor Assembly Untested As-Is

Daichu Denshi 84G3731 Industrial Panel PC Computer 734-TV0 IBM 7344 Ebara 

Nikon 4S008-187 Backplane Interface Board PCB AF-PSDX4B NSR System  Working

Nikon 4S007-855-1 Interface Control Board PCB WL3CR NSR-S204B  Working

Nikon 4S019-029-1 Processor Control Board PCB PRE1_I/F  Working

TEL Tokyo Electron HTE-TA6-D-11 AC Control Board #006 PCB TAB2300  Working

Nikon 4S008-174 Relay Control Card PCB AF-PSDX24-SUB NSR-S204B System 

Nikon Relay Lens Optic NSR-S205C BMU Beam Matching Unit  Working

Cleveland Motion Controls BMR2005TCD00CEA001 PM Servo Motor RHS-20-50-CC-SP 

Opal 50312640100 ASA Assembly AMAT Applied Materials  Working

A to Z Electronics 50312640000 ASA Assembly AMAT Applied Materials  Working

GaSonics A90-005-06 Controller Board PCB A89-005-01 FabMation Aura A-2000LL 

GaSonics 90-2658 Controller Board PCB A89-005-01 Rev. A A-2000LL  Working

GaSonics 90-2658 Controller Board PCB A89-005-01 Rev. C A-2000LL  Working

KLA Instruments 6001755-03 DP Video PCB Card TEL 3281-000051-11 P-8  Working

Brooks 002-7560-03 EFEM 300mm 200mm Cassette Load Port KLA-Tencor eS20XP 

GE Fanuc GQPI31200E2P-B Monochrome 9" Display QUICKPANEL II  Working

Bio-Rad PMM10000 Pneumatic Wafer Aligner Module Quaestor Q5  Working

Bio-Rad PMM40000 Pneumatic Handler Module Quaestor Q5  Working

Bio-Rad Y7653000 Pneumatic Handler Module Quaestor Q5  Working

GD California SYS68K/SIO-2 VME PCB Card Lam 810-017038-002 Rev. C  Working

Lam Research 832-038915-001 RF Match Network Poly Etch Autotuner As-Is

Inficon LTSP-600 8-Channel Power Supply LTSP-75W LSTP-03W LinearTec  Working

Inficon HPR-1100 Transpector Residual Gas Analyzer Assembly  Working

SAM Hitachi MC-AGT0BL1 Mass Flow Controller Fantas G Reseller Lot of 12 

SVG 90S Fluid Temperature Station 99-80266 Station CPU PCB Rev. M  Working

SVG 90S Fluid Temperature Station 99-80266 Station CPU PCB  Working

Kondoh Kohsya LM-101 Controller Assembly DSM-007  Working

Ultrapointe 000276 Spectrometer Assembly Fiber Optic Reflection Box 

VAT 12144-PA24-AFT1 Pneumatic Gate Valve ISO-160  Working

Johnson Controls 97121400 Low Voltage Heat Exchanger DX-9100-8454  Working

Lam Reaserch 852-017500-001-D CRT Keypanel Chassis 4420 Etcher Minor Damage 

KLA-Tencor 710-806051-01 Video Interface Board Rev. A0 TEL P-8  Working

TEL Tokyo Electron 2985-406657-W1 DEV SCAN (12L) ASSY Left ACT12 300mm As-Is

TEL Tokyo Electron Develop Process Station Air Valve Assembly ACT12 300mm As-Is

TEL Tokyo Electron Develop Process Station Sliding Door Set of 4 ACT12 

Nikon 4S018-567-A Relay Board PCB WL3SEN4 NSR-S204B Step-and-Repeat  Working

Nikon 4S014-160A Linear Pulsemotor Control Board PCB D2810 Nikon NSR-S204B 

Nikon Linear Wafer Handler NSR-S204B Step and Scan  Working

KLA-Tencor 002001 Camera Lens Filter Assembly 237500-412 CRS 2000  Working

Spectrum VME64 Control Board 600-90051 Nikon NSR-S204B  Working

AMAT Applied Materials Model 4020 Relay Module Aerotech XR80 Untested As-Is

Nikon T-EXX3-05806 Lens Assembly NSR-S204B  Working

Nikon Monocular Lens Assembly NSR-S204B  Working

Kokusai D1E01223B SCOM2A A/2 Board Zestone DD-1203V  Working

Machine Technology 2217605061 MINI I/O II Board  Working

TEL Tokyo Electron 2985-490048-W8 Motor Flange Assembly ACT12  Working

TEL Tokyo Electron 2985-406661-W3 Left Nozzle Arm Assembly ACT12  Working

CTI-Cryogenics 8116250G001 On-Board P300 Cryopump 8113160G001 Residue As-Is

CTI-Cryogenics 8116250G001 On-Board P300 Cryopump 8113160G001 Dented Array As-Is

CKD SCA2Q-CA80B79.2H-FL Air Cylinder Hitachi 3-839347-A New

Hitachi 1-823775-^B PCB I/O Unit with Boards Included New Surplus

TMP Shimadzu EI-3203MD Turbomolecular Pump Controller 2.0K Tested  Working

TEL Tokyo Electron 7100-0577-07 Photoelectric Sensor Assembly 7200-0310-01A New

Nikon 4S007-945 Interface Board PCB STGX3A NSR-S204B Step-and-Repeat 

Nikon 4S007-787-2A Interface Board PCB AVIS-I/F2 NSR-S204B Step-and-Repeat 

ABB TB82PH1010300 2-Wire pH/ORP/pION Monitor Transmitter TB82 New Surplus

Nikon 4S018-214-1 Interface Board PCB LM.I/F NSR-S204B Step-and-Repeat 

Edwards PT35-V5-B05 STP Turbomolecular Pump TMS Cable 10M  Tested Working

KLA Instruments 710-806050-01 IP Video PCB Card TEL 3281-000050-11 P-8 

TMC Micro-g Gimbal Piston Isolators Set of 5 JEOL JEM-2010F TEM  Working

Edwards B65354000 Pneumatic Gate Valve GVI100P GVI 100P  Working

Yaskawa SGMAS-12ABA-TE12 AC Servo Motor TEL 5085-407038-11 PRA Lithius 

Electroglas 247213-003 Main System Board PCB Card 4085x Horizon  Working

Ultratech Stepper 01-15-08204 Utility Panel 2244i Photolithography  Working

RPS Radiation Power Systems HA-UPR500C Short Arc Pulsing Regulator  Working

AMAT Applied Materials 9090-00979 Electrode Assembly 3X2H  Working

MKS 146B-13510 Vacuum Gauge Measurement Control System  Tested Working

Qcept 6200-2069-01 Power Supply PM3327A-6-1-2-C ChemetriQ-3000 Portal 

Alcatel 2005 SD Dual Stage Rotary Vane Vacuum Pump  Tested Working

Daihen HFA-50A-V RF Match  Working

ASM FEMS 1006-522-01 NeoStream Fan Filter Unit CKC855-AN01 New

Bio-Rad Y760/000 Wafer Aligner Module Quaestor Q7  Working

Accent Optical Technologies PMM40000 Handler Module MC/2488  Working

Bio-Rad PMM4000 Handler Module Quaestor Q7  Working

Lam Research 853-011661-001-H Pedestal Cylinder Lift 4420 853-011632-001 

Yashibi DC Power Generator YCC-18K-X Refurbished

Lam Research 853-020936-002-C-CHFDER Upper Seal Plate Assembly 4420  Working

Sony 1-675-992-13 DPR-LS2 Laserscale Board Working Nikon NSR-S204B  Working

Nikon 9700-4703-01 POD Advance Lead Screw Assembly New Surplus

Kollmorgen 1000-0115-00 4-Channel Magnet Driver AMAT 0190-36315 New

MRC Materials Research 884-29-000 Control Board 3MI PCB  Working

FEI Company Facilities Panel Pneumatic and Water Assembly CLM-3D  Working

Schlumberger Technologies 97913172 HCDPS Board PCB Card 40913172  Working

JEOL MP003470-01 MOTOR DRIVE Board PCB Card TILT X TA JEM-2010F  Working

JEOL MP002698-00 CM FC ITF PB Interface Board PCB Card EM-2010F  Working

JEOL MP003470-01 MOTOR DRIVE Board PCB Card TILT Y TA JEM-2010F  Working

JEOL MP003471-02 MOT SHIFT DR PB Board PCB Card SHIFT Y TA JEM-2010F 

JEOL MP002768-02 HT VAC ITF PB Interface Board PCB Card EM-2010F  Working

JEOL MP002706-00 AD CONV ITF PB Convertor Board PCB Card EM-2010F  Working

JEOL MP002406-02 CAMERA ITF PB Interface Board PCB Card JEM-2010F  Working

JEOL MP003619-00 KYBD ITF PB Interface Board PCB Card JEM-2010F  Working

JEOL MP003470-01 MOTOR DRIVE Board PCB Card TA JEM-2010F  Working

JEOL MP002902(02) CRT DSPL ITF PB Interface Board PCB Card JEM-2010F 

JEOL MP002407-03 FILM CRT ITF PB Interface Board PCB Card JEM-2010F 

JEOL MP0021742-01 DEF LENS ITF PB Interface PCB Card EM-2010F  Working

JEOL MP003117-01 MOT DR ITF PB Interface Board PCB Card JEM-2010F  Working

JEOL MP003169(01) Data Memory PB Board PCB Card EM-2010F  Working

JEOL MP002402-01 RE ITFPB Interface Board PCB Card EM-2010F  Working

JEOL MP002901(00) Panel ITF PB Interface Board PCB Card JEM-2010F  Working

JEOL MP002403-01 RS RE ITF PB Interface Board PCB Card EM-2010F  Working

FEI Company 150-002580 CLM SEM_EOCU Deflection Amplifier PCB Card CLM-3D 

FEI Company 4035 272 27021 DCEM Controller PCB Card 4035 272 35261 CLM-3D 

FEI Company 4022 192 9628 DPMT Controller Board PCB Card CLM-3D  Working

FEI Company 4022 192 9627 DRCU Detector Rack Control Unit PCB Card CLM-3D 

FEI Company 150-002650 CLM/FIB Blanker Assembly PCB Card CLM-3D  Working

FEI Company 150-002581 CLM SEM_EOCU Deflection Amplifier PCB Card CLM-3D 

Nikon Reticle Exchange Assembly REX NSR-S204B Step-and-Repeat System 

Nikon Irradiance Illumination Uniformity Sensor NSR-S204B System  Working

JEOL MP002899(01) R PANEL 1 PB Board PCB Assembly MP002962-00 JEM-2010F 

Micrion 150-002560 CLM-SERVO Controller Power Converter Board PCB Card FEI 

JEOL MP003083(00) PIRANI PB Vacuum Interface Board PCB JEM-2010F  Working

DUX ADP-506-22 SBC Single Board Computer PCB Card 2001-506DA  Working

FEI Company 150-002620 Aperture Motor Amplifier CLM-Motion Chassis PCB 

TEL Tokyo Electron HTE-IFA-B-W1 IFB Add On Board PCB TAB5300-W ACT12 

FEI Company 150-002570 Amplifier Board PCB Card CLM-3D 200mm CLM  Working

VAT 02112-BA24-0001 Pneumatic Slit Valve  Working

Edwards B65251000 Pneumatic Gate Valve GVI 063 P FEI Company 160-009450 

JEOL MP002817-00 ASID ITF PB Interface Board PCB Card EM-24015BU JEM-2010F 

Edwards B65251000 Pneumatic Gate Valve GVI 63P  Working

Nikon 4S018-169-F Processor Board PCB ALG-INF NSR-S204B Step-and-Repeat 

VAT 07512-UA44-0002 Pneumatic Atmospheric Door FEI Company CLM-3D  Working

Nikon 4S008-152 Isolated DC/DC Converter Board PCB PRE2 PWSEP  Working

Nikon 2S700-555 Video Sync Board MST PCB Card 2S015-064-4 V20.04  Working

Nikon 2S700-555 Video Sync Board MST PCB Card 2S015-064-4 V22.04  Working

Nikon 2S700-580 SCPU Control PCB Card 2S014-033-3 V1.20 OPTISTATION 3A 

Nikon 2S700-583-1 CCCNT Board PCB Card 2S014-036-4 V2.23 OPTISTATION 3A 

AMAT Applied Materials ADS-432-303 RF Amplifier PCB Card Orbot WF 736 DUO 

iQDP40 Edwards A532-40-905 Dry Vacuum Pump Untested For Parts or Repair As-Is

iQDP40 Edwards A400-91-905 Dry Vacuum Pump Untested For Parts or Repair As-Is

JEOL MP003471-02 MOT SHIFT DR PB Board PCB Card SHIFT X TA JEM-2010F 

Datacube MAX VIDEO 20 Architectural Adapter 260-0599 PCB Card Orbot WF 720 

RadiSys 68-0061-10 Single Board Computer SBC 386/258 U43L-2 Orbot WF 736 

RadiSys 68-0061-10 Single Board Computer SBC 386/258 U43L-3 Orbot WF 736 

RadiSys 68-0061-10 Single Board Computer SBC 386/258 U43L-4 Orbot WF 736 

RadiSys 504802-008 Single Board Computer pSBC 386/258 U43L-2 Orbot WF 720 

RadiSys 504802-008 Single Board Computer pSBC 386/258 U43-0 Orbot WF 720 

RadiSys 504802-008 Single Board Computer pSBC 386/258 U43L-4 Orbot WF 720 

Nikon 2S700-555 Video Sync Board MST PCB Card 2S015-064-4 V13.00  Working

Edwards D37208000 iQDP40 Vacuum Pump Electrics Module Warning 5413 Tested 

Novellus 02-169194-00 Robot Linear Track UDK5214NW RM26A3S  Working

National Instruments 181445K-01 Controller with PI Actuator M-224.50 

Lam Research 853-140013-001-1-230D Outer Gate Assembly 4420 Etcher  Working

Lam Research 853-140013-001-3-230S Outer Gate Valve Assembly 4420  Working

Lam Research 853-013610-001-E-LEAN Pneumatic Manifold Assembly 4420 Etcher 

Lam Research 853-013610-001-E-C364 Pneumatic Manifold Assembly 4420 Etcher 

Force Computers 100611 VME Card SYS68K/CPU-6VB Rev. 4.1 Lam 810-17034-300-1 

Tachibana Tectron TVME2300 Memory Board VMEbus PCB Card Rev. A  Working

Hiranuma Sangyo COM-555PH CADS Drain Wash Controller  Working

Nikon Cassette Elevator NSR-S307E  Working

Kokusai CX1229-1 Mechanical Controller DNS Dainippon Screen FC-3000  Working

Orion Machinery ETM832A-DNF Power Supply PEL THERMO  Working

AMAT Applied Materials 0100-20353 Light Tower Operation Panel I/O Block Working

ASML 4022.471.6909 PSU Monitor Board PCB VME Card 4022.471.69101  Working

Heidenhain 370 666-S2 Fiber Optic VME PCB Card HIB 186 ASML 4022.472.12941 

CFM Technologies C22111-01 32x32 Interlock Board PCB  Working

RECIF Technologies Sorter Computer IDLW8-A9607  Working

Extreme Networks 800013-00-14 Summit48 15000 Summit24 13011 Lot of 13 

Force Computers 310004 PCB Card SYS68K/SIO-2 Lam Research 4420 Rainbow 

MSR Material Support Resources DD803V Vertron Inlet Poly Flange New

MSR Material Support Resources DD803V Vertron Assembly Kit New

Material Support Resources Vertron DD803V T Shaped Cold Trap New

Nikon 4S018-918-PRE1_I/F2 Control PCB NSR S306C  Working

Lam Research 853-190023-001 Controller Assembly 272072-00 Lam Rainbow 4420 

AMAT Applied Materials 3320-01026 10 CFF OFC Copper Gasket Lot of 5 New

Force Computers 880-12535-101.A1 VME PCB Lam 810-017038-002 Rainbow 4420 

Force Computers 880-12537-101.A3 PCB Card SYS68K/CPU-6VB  Working

Force Computers 300000 PCB Card SYS68K/SASI-1 100108 Lam Rainbow 4420 

TEL Tokyo Electron 012-004124 Slit Valve Unity II  Working

TEL Tokyo Electron 012-004123 Slit Valve Unity II  Working

VAT 62034-KA18-1005 Angle Valve 26324-KA11-1001 lot of 6 working

Blue Wave Systems BDV44 PCB VMEbus Card ASML 4022.470.6181 4022.471.5852 

Nikon 4S015-227 Component Box NK8601A NSR-S307E  Working

Nikon KAB11000 Linear Module Assembly OPTISTATION 7 Untested As-Is

ASML 4022.470.6295 RH Handling Box SHB-RH Nikon NES1 Mini Stepper  Working

ASML 4022.470.0903 FRM Remote Control Box Nikon Loader  Working

ASML 4022.470.0892 TUR Remote Control Box 4022.471.6180 Nikon Loader 

ASML 4022.470.0889 CCM Remote Control Box 4022.470.2334 Nikon Reticle 

ASML 4022.470.0884 Servo Drive Nikon Reticle Loader  Working

APW 1NB412S77 Exhaust Blower Nikon OPTISTATION 7  Working

Millipore W2501PH02 Photo Resist Pump Untested As-Is

Sony BZ-T13 Laserscale Interface  Working

Motorola 01-W1683B40C MCP750 PCB 4S082-992 Nikon NSR-S307E  Working

Pacific Scientific 121-236 Rev B Spindle Controller Untested As-Is

Nikon 4S018-679 Control Board PCB RL-LIBHT2 NSR-S204B Step-and-Repeat 

Nikon 4S008-115-? Processor Board PCB ALGAF-P/D-X4+  Working

Nikon NSR Series AVIS-I/F2 PCB 4S007-787-1

Nikon 2S700-582-1 Processor Board TCCNT PCB Card 2S014-035-5 3-TAR V17.00 

HP Hewlett-Packard E7080-63516 Versatest 256K Vector Pattern Generator 

Force Computers 102263 CPU Processor VMEbus PCB SYS68K/CPU-30BE/16 Rev. F 

Force Computers 102263 CPU Processor VMEbus PCB SYS68K/CPU-30BE/16 Rev. H 

Tachibana Tectron TVME2300 Memory Board VMEbus PCB Card Rev. B  Working

Ultratech Stepper 01-15-04736 Reticle Gripper Assembly Swing Arm 224 

Orion Machinery ETM832A-DNF-L-G2 Power Supply PEL THERMO Copper  Working

CTI-Cryogenics 8113177G002 CPC Module  Tested Working

AMAT Applied Materials 0010-02146 HDP-CVD RPSC Applicator 5200 CVD  Working

AMAT Applied Materials 0010-18132 HDP-CVD RPSC Applicator 5200 0040-18094 

Daihen HFA-30A-V RF Match TEL Tokyo Electron 3D80-000158-V3  Working

M.E.C. Tech MEC30512-1268TI Process Plate Assembly Copper Refurbished

RECIF IDLW8 200mm Optical Character ID Reader Wafer Sorter No display  As-Is

Kawasaki 50818-1176 Robot Select Teach Repeat Control Box 50977-3262 

Opto 22 885-23-000 Relay Board PCB MRC Materials Research Eclipse Star 

VAT 0360X-CA24-BCY1 Rectangular Dual Slit Valve  Working

SMC NCDQ2B125-UIA970691 300mm Non-SNP Slit Valve AMAT 3020-01204  Working

SMC NCDQ2B125-UIA970691 300mm Slit Valve AMAT 0040-75863 Rev. 001 

AMAT Applied Materials 0019-19010 Motorized Lift MORAT 6-60-AG60-AMAT  As-Is

TEL Tokyo Electron 1D81-00000097A6 ITC PCB Board TYB 212-1/RF Unity II 

RGI Raster Graphics 6000700-09A VMEbus Interface Board PCB Card RG700 

V-Tex 172B336X48DCPRO03 Slit Valve Hitachi M-712E  Working

Asyst 14939-001 Robot End Effector Rev. 2 New Surplus

Mitsubishi QH42P PLC Input/Output Unit Lot of 6  Working

AMAT Applied Materials LCAT200P Cassette Alignment Tool Controller  Working

Nikon 4S018-725 Robot-I/F Interface Board PCB NSR-S306C  Working

Nova Measuring Instruments 153-00000-01 Wafer Handling Assembly  Working

Nikon Z-X4P/8-A1103C NRS S306C Power Supply Module working

AMAT Applied Materials 9090-00785 High Voltage Module 3X2H XR80  Working

Hitachi VMPM-02N Control Board PCB  Working

Fairchild Analog Controller 97750537 Rev. 4  Working

AMAT Applied Materials 0270-03972 Wafer Calibration Tool 4mm New

TEL Tokyo Electron CT5010-304265-11 Clean Track Adapter Bottle Lot of 5 New

AMAT Applied Materials 0021-25668 300mm HTESC Shutter Disk New Surplus

Kokusai KBC CPU-6 PCB D1E01133  Working

Kokusai APC A/2 PCB D1E01142B  Working

Gasonics 90-2607 Controller Board PCB Rev. F  Working

AMAT Applied Materials 0040-85691 Shutter Garage Assembly  Working

TEL Tokyo Electron 3D81-000099-V1 PCB TYB622-1/GAS2 Board  Working

Nikon 4S018-921 RBTDRV Drive Board PCB NSR Series  Working

Nikon 4S019-115 CRI/F Interface Board PCB NSR-S306C  Working

Nikon 4S018-919 Robot Interface PCB NSR-S306C  Working

Siemens TI525 Simatic Control Card 525-1104  Working

Nikon Z-A86974C Cassette Elevator 4S013-467 NSR-S306C  Working

Hauser Screw Controller 0200-M COMPAX-M  Working

Nikon 4S008-260 Power Board PCB IU-PWR2-X4P4  Working

National Instruments 181555-01 Bus Expander Isolator Lot of 12  Working

Regal Flow Sensor FS-10 Lot of 10  Working

M.E.C. Tech RE2093 Rev 2 CDO Process Plate Assembly New

M.E.C. Tech MEC30512-1001R Process Ring New

Lam Research 810-017012-001 Heartbeat Board Rev. F  Working

SDS V-DSP240/SP 4-Channel Interface PCB Card SDS-9725 Hitachi S-9300 CD SEM 

Granville-Phillips 307130 Vacuum Gauge Controller 307  Working

LF-5 RFPP RF Power Products 7520572050 RF Generator RFPP  Tested Working

Nikon 4S013-484 Linear Scale Control Board PCB 09AAA790 NSR-S307E DUV 

Beijer Electronics 02440G Graphic Operator Terminal Interface E700  Working

CKD AMF-V-X1 Valve AMF TEL Dev.Solution 2 5087-400486-15 Lithius DEV 

CKD AMF-V-X1 Valve AMF TEL Dev.Solution 1 5087-400486-15 Lithius DEV 

Nikon 4S604-203-2 Magnetic Linear Rail ULSI-2390-NQ11 NSR-S307E DUV  Working

Nikon 4S604-201-2 Magnetic Linear Rail ULSI-2390-NQ11 NSR-S307E DUV  Working

AVAL Data AVME-142 Processor Board PCB MPU-42 TSA-235C  Working

AVAL Data AVME-352 6 Channel Interface PCB Board SIO  Working

Nikon 4S007-879-1 Interface Board PCB AF-PSDX21 NSR-S204B Step-and-Repeat 

Nikon 4S014-145 Interface Bus Board PCB AF-BUSX2 NSR-S204B Step-and-Repeat 

SMC INR-244-261B RC Circulator Pump Assembly TEL Tokyo Electron Lithius 

VAT 09038-PE44-AB01 Pneumatic Gate Valve BGV LOTO Edwards B90002031 Opened New

VAT 09038-PE44-AB01 Pneumatic Gate Valve BGV LOTO Edwards B90002031 New

Edwards NGW416000 Pneumatic Gate Valve Assembly ISO160 ISO-F  Working